虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

CadENCe-Allegro

  • orcad全能混合电路仿真

    0RCAD全能混合电路仿真:第一部分 0rCAD环境与Capture第l章 OrCAD PSpice简介1—1 SPICE的起源1—2 OrCAD PSpice的特点1—3 评估版光盘的安装1—4 评估版的限制1—4—1 Capture CIS 9.0评估版的限制1—4—2 PSpiceA/D9.0评估版限制1—5 系统需求1—6 PSpice可执行的仿真分析1—6—1 基本分析1—6—2 高级分析1—7 Capture与PSpice名词解释1—7—1 文件与文件编辑程序1—7—2 对象、电气对象与属性1—7—3 元件、元件库与模型1—7—4 绘图页、标题区与边框1—7—5 绘图页文件夹、设计、设计快取内存1—7—6 项目与项目管理程序

    标签: orcad 混合电路 仿真

    上传时间: 2013-11-05

    上传用户:lunshaomo

  • orcad无法输出网表问题解决方法

    ORCAD在使用的时候总会出现这样或那样的问题…但下这个问题比较奇怪…在ORCAD中无法输出网表…弹出下面的错误….这种问题很是奇怪…Netlist Format: tango.dllDesign Name: D:\EDA_PROJECT\PROTEL99SE\YK\SV3200\MAIN.DSNERROR [NET0021] Cannot get part.[FMT0024] Ref-des not found. Possible Logical/Physical annotation conflict.[FMT0018] Errors processing intermediate file找了一天没找到问题…终于在花了N多时间后发现问题所在…其实这个问题就是不要使用ORCAD PSPICE 库里面的元件来画电路图…实际中我是用了PSPICE里面和自己制作的二种电阻和电容混合在一起…就会出现这种问题…

    标签: orcad 无法输出 网表

    上传时间: 2013-11-21

    上传用户:zaocan888

  • pads绿色版种子下载

    pads绿色版

    标签: pads 绿色版

    上传时间: 2013-11-16

    上传用户:hebmuljb

  • 科通Cadence_16.6_OrCAD_Capture_CIS_新 功能连载(一)

    16.6 版本出来将近半年了,一直想和大家分享一下OrCAD 在16.6 上面的表现。今天终于可以坐下来说一下了。今天要讨论的是Capture 非常有用的一个更新,原理图与SI 分析的完美结合结合。

    标签: OrCAD_Capture_CIS Cadence 16.6

    上传时间: 2014-03-26

    上传用户:YYRR

  • Cadence_SPB_15.51基本知识

    adence_SPB_15.51基本知识

    标签: Cadence_SPB 15.51 基本知识

    上传时间: 2013-11-14

    上传用户:manga135

  • Cadance Allegro16.6破解过程详解

      Allegro16.6 破解过程详解   1. 安装 licensemanager ( 可以安装到任何盘 ) ,最后问选择 license 路径时,单击cancel ,然后finish ,安装完成后重新启动电脑。

    标签: Cadance Allegro 16.6 破解

    上传时间: 2013-10-20

    上传用户:netwolf

  • cadence16.5基本规则设置

    cadence16.5基本规则设置。

    标签: cadence 16.5 基本规则

    上传时间: 2013-12-26

    上传用户:王成林。

  • Cadence16.3安装及破解向导

    Cadence16.3安装及破解向导,与低版本同装不冲突

    标签: Cadence 16.3 破解

    上传时间: 2013-11-04

    上传用户:lijinchuan

  • Cadence_16.6_OrCAD_Capture_CIS_新功能 连载(二)

    今天我要和大家说的是capture 在查找和替换上做出的一些更新。在以前的版本中,我们只能查找一些和property values 相对应的内容。16.6 版本可以允许通过标准语法的表达式来查找更多的内容。同时也在查找窗口下加了2 个菜单。

    标签: OrCAD_Capture_CIS Cadence 16.6 新功能

    上传时间: 2013-10-18

    上传用户:zhaiye

  • Cadence_16.6_OrCAD_Capture_CIS_新功能 连载(三)

    又到了该写些文档的时候了。以后我会每周更新新版本中的新功能以及使用小技巧的文档。希望大家拍砖。^_^

    标签: OrCAD_Capture_CIS Cadence 16.6 新功能

    上传时间: 2014-03-26

    上传用户:weiwolkt