虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

CadENCe-Allegro

  • cadence讲义(清华大学微电子所)

    清华大学微电子所,PPT转PDF,共122页

    标签: cadence 讲义 清华大学 微电子所

    上传时间: 2013-12-21

    上传用户:xiaojie

  • 小型化设计的实现与应用

    电子产品功能越来越强大的同时,对便携的要求也越来越高,小型化设计成为很多电子设计公司的研究课题。本文以小型化设计的方法、挑战和趋势为主线,结合Cadence SPB16.5在小型化设计方面的强大功能,全面剖析小型化设计的工程实现。主要包括以下内容:小型化设计的现状和趋势,以及现在主流的HDI加工工艺,介绍最新的ANYLAYER(任意阶)技术的设计方法以及工艺实现,介绍埋阻、埋容的应用,埋入式元器件的设计方法以及工艺实现。同时介绍Cadence SPB16.5软件对小型化设计的支持。最后介绍HDI设计在高速中的应用以及仿真方法,HDI在通信系统类产品中的应用,HDI和背钻的比较等。

    标签:

    上传时间: 2014-01-18

    上传用户:yph853211

  • Cadence完全学习手册(下)

    从网上收集的资料,感觉不错。

    标签: Cadence 学习手册

    上传时间: 2013-12-20

    上传用户:sc965382896

  • Cadence完全学习手册(中)

    从网上收集的资料,感觉不错。

    标签: Cadence 学习手册

    上传时间: 2014-12-24

    上传用户:shen1230

  • Cadence完全学习手册(上)

    我从网上找到的资料,感觉不错。

    标签: Cadence 学习手册

    上传时间: 2013-10-18

    上传用户:eastgan

  • Allegro_SPB_16-3速成教材

    图文并茂的allegro教程,新手快速上手工具,有一定经验的工程师学习高级技巧

    标签: Allegro_SPB 16 教材

    上传时间: 2013-11-10

    上传用户:daguda

  • candence工程文件

    于博士cadence allergro 配套资料

    标签: candence 工程

    上传时间: 2013-10-23

    上传用户:gaome

  • 突破Allegro SPB 学习之第一难关------建立PCB封装(修正版)

    很好的学习资料

    标签: Allegro SPB PCB 封装

    上传时间: 2014-03-05

    上传用户:ZJX5201314

  • 可编辑程逻辑及IC开发领域的EDA工具介绍

    EDA (Electronic Design Automation)即“电子设计自动化”,是指以计算机为工作平台,以EDA软件为开发环境,以硬件描述语言为设计语言,以可编程器件PLD为实验载体(包括CPLD、FPGA、EPLD等),以集成电路芯片为目标器件的电子产品自动化设计过程。“工欲善其事,必先利其器”,因此,EDA工具在电子系统设计中所占的份量越来越高。下面就介绍一些目前较为流行的EDA工具软件。 PLD 及IC设计开发领域的EDA工具,一般至少要包含仿真器(Simulator)、综合器(Synthesizer)和配置器(Place and Routing, P&R)等几个特殊的软件包中的一个或多个,因此这一领域的EDA工具就不包括Protel、PSpice、Ewb等原理图和PCB板设计及电路仿真软件。目前流行的EDA工具软件有两种分类方法:一种是按公司类别进行分类,另一种是按功能进行划分。 若按公司类别分,大体可分两类:一类是EDA 专业软件公司,业内最著名的三家公司是Cadence、Synopsys和Mentor Graphics;另一类是PLD器件厂商为了销售其产品而开发的EDA工具,较著名的公司有Altera、Xilinx、lattice等。前者独立于半导体器件厂商,具有良好的标准化和兼容性,适合于学术研究单位使用,但系统复杂、难于掌握且价格昂贵;后者能针对自己器件的工艺特点作出优化设计,提高资源利用率,降低功耗,改善性能,比较适合产品开发单位使用。 若按功能分,大体可以分为以下三类。 (1) 集成的PLD/FPGA开发环境 由半导体公司提供,基本上可以完成从设计输入(原理图或HDL)→仿真→综合→布线→下载到器件等囊括所有PLD开发流程的所有工作。如Altera公司的MaxplusⅡ、QuartusⅡ,Xilinx公司的ISE,Lattice公司的 ispDesignExpert等。其优势是功能全集成化,可以加快动态调试,缩短开发周期;缺点是在综合和仿真环节与专业的软件相比,都不是非常优秀的。 (2) 综合类 这类软件的功能是对设计输入进行逻辑分析、综合和优化,将硬件描述语句(通常是系统级的行为描述语句)翻译成最基本的与或非门的连接关系(网表),导出给PLD/FPGA厂家的软件进行布局和布线。为了优化结果,在进行较复杂的设计时,基本上都使用这些专业的逻辑综合软件,而不采用厂家提供的集成PLD/FPGA开发工具。如Synplicity公司的Synplify、Synopsys公司的FPGAexpress、FPGA Compiler Ⅱ等。 (3) 仿真类 这类软件的功能是对设计进行模拟仿真,包括布局布线(P&R)前的“功能仿真”(也叫“前仿真”)和P&R后的包含了门延时、线延时等的“时序仿真”(也叫“后仿真”)。复杂一些的设计,一般需要使用这些专业的仿真软件。因为同样的设计输入,专业软件的仿真速度比集成环境的速度快得多。此类软件最著名的要算Model Technology公司的Modelsim,Cadence公司的NC-Verilog/NC-VHDL/NC-SIM等。 以上介绍了一些具代表性的EDA 工具软件。它们在性能上各有所长,有的综合优化能力突出,有的仿真模拟功能强,好在多数工具能相互兼容,具有互操作性。比如Altera公司的 QuartusII集成开发工具,就支持多种第三方的EDA软件,用户可以在QuartusII软件中通过设置直接调用Modelsim和 Synplify进行仿真和综合。 如果设计的硬件系统不是很大,对综合和仿真的要求不是很高,那么可以在一个集成的开发环境中完成整个设计流程。如果要进行复杂系统的设计,则常规的方法是多种EDA工具协调工作,集各家之所长来完成设计流程。

    标签: EDA 编辑 逻辑

    上传时间: 2013-11-19

    上传用户:wxqman

  • Cadence 应用注意事项

    good good study ,day day up

    标签: Cadence 注意事项

    上传时间: 2014-05-15

    上传用户:wvbxj