虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

network-shy

  • CANopen轻松入门

    前言本教程适用于CIACANopen 协议DS301又名CIA301标准。用户须已经掌握CAN2.0A协议的基本知识。即基本的帧结构、ID、数据、DLC等知识,本文不再从CAN底层开始叙述。如果读者需要了解CAN底层,推荐北京航空航天出版社出版的《项目驱动一—CAN-bus 现场总线基础教程》。CANopen在ISO层级中的位置从oSI的7层网络模型的角度来看同,CAN(Controller Area Network)现场总线仅仅定义了第1层(物理层,见ISO11898-2标准)、第2层(数据链路层,见ISO11898-1标准);而在实际设计中,这两层完全由硬件实现,设计人员无需再为此开发相关软件(Software)或固件(Firmware),只要了解如何调用相关的接口和寄存器,即可完成对CAN的控制。如图21所示。

    标签: canopen

    上传时间: 2022-07-19

    上传用户:jiabin

  • 深度学习入门书籍中文版

    该书的作者是来自 Y Combinator Research 的研究员 Michael Nielsen,他也是一位量子物理学家、科学作家、计算机编程研究人员。他的个人主页是:Neural networks and deep learningneuralnetworksanddeeplearning.com书籍介绍 这是我个人以为目前最好的神经网络与机器学习入门资料之一。内容非常浅显易懂,很多数学密集的区域作者都有提示。全书贯穿的是 MNIST 手写数字的识别问题,每个模型和改进都有详细注释的代码。非常适合用来入门神经网络和深度学习! 全书共分为六章,目录如下: 第一章:使用神经网络识别手写数字 第二章:反向传播算法如何工作 第三章:改进神经网络的学习方法 第四章:神经网络可以计算任何函数的可视化证明 第五章:深度神经网络为何很难训练 第六章:深度学习 《Neural Network and Deep Learning》这本书的目的是帮助读者掌握神经网络的核心概念,包括现代技术的深度学习。在完成这本书的学习之后,你将使用神经网络和深度学习来解决复杂模式识别问题。你将为使用神经网络和深度学习打下基础,来攻坚你自己设计中碰到的问题。 本书一个坚定的信念,是让读者更好地去深刻理解神经网络和深度学习,如果你很好理解了核心理念,你就可以很快地理解其他新的推论。这就意味着这本书的重点不是作为一个如何使用一些特定神经网络库的教程。仅仅学会如何使用库,虽然这也许能很快解决你的问题,但是,如果你想理解神经网络中究竟发生了什么,如果你想要了解今后几年都不会过时的原理,那么只是学习些热?的程序库是不够的。你需要领悟让神经网络工作的原理。

    标签: 深度学习

    上传时间: 2022-07-24

    上传用户:sheng199241

  • 电力系统的matlab simulink仿真及应用

    电力系统是一个大规模、时变的复杂系统,在国民经济中有非常重要的作用。电力系统数字仿真已成为电力系统研究、规划、运行、设计等各个方面不可或缺的工具,特别是电力系统新技术的开发研究、新装置的设计、参数的确定更是需要通过仿真来确认。目前常用的电力系统仿真软件有:(1)邦纳维尔电力局(Bonneville Power Administration,BPA)开发的BPA程序和EMTP(Electromagnetic Transients Program)程序;(2)曼尼托巴高压直流输电研究中心(Manitoba HVDC Research Center)开发的PSCAD/EMTDC(Power System Computer Aided Design/Electromagnetic Transients Program including Direct Current)程序;(3)德国西门子公司研制的电力系统仿真软件NETOMAC(Network Torsion Machine Control);(4)中国电力科学研究院开发的电力系统分析综合程序PSASP(Power System Analysis Software Package);(5)MathWorks公司开发的科学与工程计算软件MATLAB(Matrix Laboratory,矩阵实验室)。

    标签: 电力系统 matlab simulink 仿真

    上传时间: 2022-07-27

    上传用户:lostxc

  • VIP专区-嵌入式/单片机编程源码精选合集系列(33)

    VIP专区-嵌入式/单片机编程源码精选合集系列(33)资源包含以下内容:1. Embedded Web Server Architecture for Web-based Element and Network Management关于嵌入式Web服务器的完整论文.2. 这是一个电子时钟程序,里面有显示时间子程序,还有调整,键盘等子程序!.3. 这是一个可调的PWM波程序,稍作修改就可以控制电机转速或其他设备!.4. 这是实验室在进行嵌入式开发的时候的首选.5. LCD 仿真器 一、概述 LCD仿真器是一种电子产品的辅助开发工具。目前LCD(液晶屏)在各种电子产品的使用越来越广泛.6. 提供了与《嵌入式实时操作系统及应用开发》教材配套的实验系统软件.7. 摩托罗拉公司发布的QL4的Lin总线kit,freescale网站上花钱买的.8. RTLinux的介绍.9. 嵌入式系统教学教案.10. 在UNIX 系统下得到字符点阵信息嵌入式系统设计中消除内存丢失的策略matlab循环变量小技巧hard disk 1.8# device driverC程序中如何转换GB2312.11. 针对周立功2104板子的一个秒表程序,可以通过按键分别查看秒表的时间,分,秒..12. 难得一见的"EDA技术与PLD设计"课程的课件.13. C语言函数库文件.14. 本程序用汇编语言编写.15. 嵌入式系统设计中消除内存丢失的策略.16. 这是有关DM642开发板的相关幻灯片.17. 周立功2106板子的启动程序代码,包括初始化,中断向量表,和头文件..18. 嵌入式资料光盘,刚刚下的,还没有来得及看,如果感兴趣可以先看看,含有资料文档..19. 320X240LCD仿真程序 320x240lcd仿真程序.20. 嵌入式我们老师的课件.优点用处..呵呵....呵呵后.21. MiniGui API函数大全及详细介绍。.22. S3C2410开发板与PC机的通信 客户端和 服务器端的代码 以及makefile文件 服务器为开发板 执行 S3 PC机为客户机 执行host.c.23. GIMP Dynamic Text是GIMP的插件程序.24. keil c公司网站下载的一些实例教程程序!.25. 移植到GBA上运行的LINUX的代码.26. 用protel 99 设计的可编程彩灯控制器 可显示16个画面 8*8矩阵.27. ucos for vc ucos compiled and run in vc.28. 嵌入式的综合内容.29. 基于ARMs3c44b0的键盘输入输出i/o的在 led上显示出来的程序.30. PC-Lint是一个历史悠久.31. PC-Lint是一种静态代码检测工具.32. C++ 的难学.33. TCP/IP Lean: Web Servers for Embedded Systems, Second Edition.34. 一个多功能的遥控编码程序,其中有较为详细的键盘扫描程序和用于推动红外发射的发码程序.35. eCos/RedBoot for勤研ARM AnywhereII(4510) 含全部源代码.36.   Windows CE是微软为嵌入式设备打造的操作系统.37. 现在开发的硬件良好产品 结合C和ASM一起开发 可以混合用C里的函数库.38. Altera recommends the following system configuration: * Pentium II 400 with 512-MB system memory (fa.39. Cyclone1C20的Nios开发板完整原理图Protel格式.40. Interface Fiche Technique : Langage de programmation : Visual Basic 5.0 Support : Une version de Win.

    标签: 35.1 机械零件 切削 加工工艺

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(40)

    VIP专区-嵌入式/单片机编程源码精选合集系列(40)资源包含以下内容:1. epson公司的一个关于s1d13706的低层驱动程序.2. ads1.2的一个工程.3. 一些FPGA的扩展电路原理图.4. 一些DSP的c语言源程序.5. 凌阳单片机声控小车源码,对于想开发声控小车的人来说,是相当有用的.6. ATMEL的下载线制作和下载软件,有需要的可以来看.7. 一个比较老的C语言编译器,WAVE的老板本仿真器只能用他.8. LM8361、TMS3450、TMS1943数字钟电路.9. 该程序代码实现对Flash Rom AM29LV160烧写数据的功能.10. 嵌入PIC16F77的激光传感器程序RS485输出.11. PIC16F77单片机I2C读写程序.12. 这是家用摄像头的源程序.13. lwIP is a small independent implementation of the TCP/IP protocol suite that has been developed by.14. 详细的MiniGUI源代码.15. 日本的嵌入式实时操作系统UITRON3.0 该系统以得到几十亿片的应用业绩.16. IEC61131-3标准说明.17. 一线通讯协议的c51例子程序源代码.18. C字符串底层函数的实现。对一些想了解C的低层函数的人很有帮助.19. 使用vhdl语言编写的交通灯控制程序.20. 使用C语言编写的单片机控制LCD显示程序.21. 此程序是一底层开发驱动代码,里面包括了I2C芯片(MAX5417)及1 wire sensor、AD驱动的源代码!稍作改动就能用于自己的产品开发中!.22. 这是利用Mifare SDK开发的读写基于ISO14443标准RF卡的程序。.23. 这是用于Mifare卡开发的programmer reference和DLL文件.24. C51单片机用C语言编写的SPI例程.25. CPLD7256的例子程序.26. SUMSUNG S3C44B0X ARM7的一个RTC实时时钟的显示和修改的例程.27. 哈工大智能电动车软件的源代码.28. 这是有关单总线数字温度芯片DS18B20的keilC和ASM汇编的混合编程.29. 这是一个在51单片机上用汇编实现的除法程序。.30. Chapter 5 "Construction case with USB host system that handles USB controller SL811" sample progra.31. "TCP/IP + Ethernet network equipment design method" sample program.32. JAPAN "RTOS technology that learns with TOPPERS".33. JAPAN RTOS TOPPERS/JSP kernel 1.4 PreRelease simulation environment JSP kernel Windows simulation.34. 键盘扫描程序.35. pcb EMI 资料很好 是英文资料.36. PCB EMI 的防护的资料是英文的.37. pcb EMI 的防护的资料.38. pcb EMI 的防护的资料.39. pcb EMI 的防护的资料.40. pcb EMI 的防护的资料.

    标签: 液压系统 模拟机

    上传时间: 2013-06-12

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(105)

    VIP专区-嵌入式/单片机编程源码精选合集系列(105)资源包含以下内容:1. 凌阳公司机sunplus1002在这个芯片下.2. 基于pdiusbd12的c51单片机驱动程序.3. 凌阳公司机sunplus1002在这个芯片做为机顶盒主芯片下的嵌入式字体库。.4. 常见datasheet中文版--- 很多人不大习惯读英文的datasheet.5. 倒车雷达系统的研究与设计:系统设计中采用了模块设计思想.6. TMS320VC5509设备端USB设备驱动程序源文件.7. 利用51单片机控制步进电机并显示当前转速.8. 5920是PCI桥芯片,节省了许多用于处理PCI通讯细节的开发时间.这个是相关资料.9. XILINX专用术语,中文,很好的规范教材,可以方便新人能较快的入门.10. 嵌入式的一本好书.11. 该文件中包括89系列 X102开发板的12232液晶屏AD转换器ADC0809,DA转换器DAC0832,I2C总线芯片24C02,RAM电路62256,发光二极管电路.12. “霓虹灯”小程序.13. 三星公司S3C2410X中文数据手册完全版.14. 此程序下位机采集18部0温度.15. 运行环境:DOS, 通过串口升级的下位程序, 需配合上位升级程序FileUpgrade运行, 可用于嵌入式DOS系统中..16. 在电路中选择运算放大器(运放)来实现某一特定功能时.17. 嵌入式DOS系统上位升级程序FileUpgrade, 需配合本人上传的FileUpr程序应用, VC++开发, 非常实用, 内附说明及源码.18. 步进电机的控制程序.19. moden控制器电路设计.20. dsPICDEM_v1_1开发板的相关例程.21. 程序在visual c++环境下实现了图像按照输入参数进行平移旋转和在水平垂直方向上的镜像功能。.22. Develop Zigbee network real-time Os.23. AT89C51+CPLD 的EPM7064SLC44-10的学习板电路图.24. LSVMK Langrangian Support Vector Machine algorithm LSVMK solves a support vector machine problem us.25. 网上寻找的.26. 介绍了关于怎样用DRAM 芯片用做 摄象机.27. s3c2410的背光源码.28. s3c2410的触摸屏源码.29. 嵌入式系统软件结构设计 基于uc/os- 2的软件设计.30. 嵌入式实时操作系统分析 uc/os-2操作系统内核的分析.31. 24C02的读与写.32. 学习开源gui库wxwidgets的入门源码.33. 2812的ad的详细说明.34. LED显示屏设计LED显 示屏设计 LED显示屏设计 LED显示屏设计 LED显示屏设计.35. mc68hc08 tim 试验程序实例.36. 附带图形界面的2410Flash烧写程序.37. OrCAD格式的2410开发板 底板原理图.38. OrCAD格式的S3C2410X核心板原理图.39. H_323协议详解(中文) 打开文件的密码是:21315038.40. stc单片机读内部eeprom代码.

    标签: 激光测量

    上传时间: 2013-04-15

    上传用户:eeworm

  • 100+篇深度学习英文论文资料合集

    2.5 Neural Turing Machine - 2.1 Model - .DS_Store 10KB 2.4 RNN Sequence-to-Sequence Model - 2.8 One Shot Deep Learning - 2.7 Deep Transfer Learning Lifelong Learning especially for RL - 2.2 Optimization - 1.4 Speech Recognition Evolution - 1.2 Deep Belief Network(DBN)(Milestone of Deep Learning Eve) - 1.3 ImageNet Evolution(Deep Learning broke out from here) - 2.3 Unsupervised Learning Deep Generative Model - 2.6 Deep Reinforcement Learning

    标签: MoldWizard 使用手册

    上传时间: 2013-05-15

    上传用户:eeworm

  • Matlab_2015b 完整破解版下载

    Matlab_2015b 完整破解版下载 Matlab R 2015b 这里是一些特点和评价: 界面支持高分屏,在笔记本的3k屏上终于不用模糊地显示了。。。加入了network/graph相关的函数和类,网络的处理和可视化都非常方便。 2015b终于支持MinGW编译器了,不用装庞大的Visual Studio了。 2015b里新加的python相关功能是可以把你的matlab程序编译成一个python本地包,然后就可以拿到python里直接用里面的函数了。听说是发布可以脱离matlab端直接运行,大概是会打包dll的。 计算速度更快是事实,加入了JIT效果拔群。但其实我感觉作用不是特别大,速度这个事情似乎一直并不是matlab的锅,而是取决于代码怎么写…当然对于热衷于在matlab里套循环的人,至少有较大改观。

    标签: 升压电路

    上传时间: 2013-08-01

    上传用户:eeworm

  • Matlab_2016a 完整破解版网盘高速下载

    Matlab_2016a 完整破解版下载 使用增强的设计环境和 UI 组件集开发 MATLAB 应用。深度学习用于图像分类问题。访问模板、最新模型以及精选示例。创建包含事件操作和新模块的离散事件模型和调度程序。使用标准座舱仪器显示飞行条件。在线编辑器,用于:开发包含结果和图形以及相关代码的实时脚本创建用于分享的交互式描述,包括代码、结果和图形以及格式化文本、超链接、图像及方程式MATLAB应用设计器,使用增强的设计环境和扩展的 UI 组件集构建带有线条图和散点图的 MATLAB®应用全新多 y-轴图、极坐标图和等式可视化暂停、调试和继续 MATLAB 代码执行Neural Network Toolbox使用 Parallel Computing Toolbox™ 中的 GPU 加速深入学习图像分类任务的卷积神经网络 (CNN)Symbolic Math Toolbox与 MATLAB 在线编辑器集成,以便编辑符号代码和可视化结果,并将 MuPAD® 笔记本转换为实时脚本Statistics and Machine Learning ToolboxClassification Learner 应用,可以自动培训多个模型,按照级别标签对结果进行可视化处理,并执行逻辑回归分类Control System Toolbox新建及重新设计的应用,用于设计 SISO 控制器、自动整定 MIMO 系统和创建降阶模型Image Acquisition Toolbox支持 Kinect® for Windows® v2 和 USB 3 VisionComputer Vision System Toolbox光学字符识别 (OCR) 训练程序应用、行人侦测和来自针对 3-D 视觉的动作和光束平差的结构体Trading Toolbox对交易、灵敏性和交易后执行的交易成本分析Simulink 产品系列Simulink通过访问模板、最近模型和精选示例更快开始或继续工作的起始页自动求解器选项可更快速地设置和仿真模型针对异构设备的系统模型仿真,例如 Xilinx®和 Altera® SoC 架构Simulink® 单位,可在 Simulink、Stateflow® 和 Simscape™ 组件的接口指定单位、对其进行可视化处理并检查变量源和接收器模块,用于定义变量条件并使用生成代码中的编译器指令将其传播至连接的功能Aerospace Blockset标准座舱仪器,用于显示飞行条件SimEvents全新离散事件仿真和建模引擎,包括事件响应、MATLAB 离散事件系统对象制作以及 Simulink 和 Stateflow 自动域转换Simscape全新方程简化和仿真技术,用于生成代码的快速仿真和运行时参数调整Simscape FluidsThermal Liquid 库,用于对属性随温度而变化的液体的系统建模Simulink Design Optimization用于实验设计、Monte Carlo 仿真和相关性分析的灵敏度分析工具Simulink Report Generator三向模型合并,以图形方式解决 Simulink 项目各修订版之间的冲突信号处理和通信Antenna Toolbox电介质建模,用于分析天线和有限天线阵列中的基质效果RF ToolboxRF Budget Analyzer,用于为级联的射频组件计算增益、噪声系数和 IP3SimRF自动射频测试工作台生成Audio System Toolbox一款用于设计和测试音频处理系统的新产品WLAN System Toolbox一款用于对 WLAN 通信系统的物理层进行仿真、分析和测试的新产品代码生成Embedded Coder编译器指令生成,将信号维度作为 #define 进行实施HDL Coder针对 HDL 优化的 FFT 和 IFFT,支持每秒 G 字节采样 (GSPS) 设计的帧输入HDL VerifierPCIe FPGA 在环,用于通过 PCI Express® 接口仿真 Xilinx® KC705/VC707 和 Altera®Cyclone® V GT/Stratix V DSP 开发板上的算法验证和确认Polyspace Code Prover支持 long-double 浮点,并且改进了对无穷大和 NaN 的支持Simulink Design Verifier对 C 代码 S-function 自动生成测试IEC Certification Kit对 Simulink Verification and Validation™ 提供 IEC 62304 医学标准支持Simulink Test使用 Simulink Real-Time™ 制作和执行实时测试

    标签: 电子 表面 电感

    上传时间: 2013-07-09

    上传用户:eeworm