虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

xilinx ISE设计开发套件

  • FPGA中多标准可编程IO端口的设计.rar

    现场可编程门阵列(FPGA,Field Programmable Gate Array)是可编程逻辑器件的一种,它的出现是随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(ASIC,Application Specific Integrated Circuit).芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中。现在,FPGA已广泛地运用于通信领域、消费类电子和车用电子。 本文中涉及的I/O端口模块是FPGA中最主要的几个大模块之一,它的主要作用是提供封装引脚到CLB之间的接口,将外部信号引入FPGA内部进行逻辑功能的实现并把结果输出给外部电路,并且根据需要可以进行配置来支持多种不同的接口标准。FPGA允许使用者通过不同编程来配置实现各种逻辑功能,在IO端口中它可以通过选择配置方式来兼容不同信号标准的I/O缓冲器电路。总体而言,可选的I/O资源的特性包括:IO标准的选择、输出驱动能力的编程控制、摆率选择、输入延迟和维持时间控制等。 本文是关于FPGA中多标准兼容可编程输入输出电路(Input/Output Block)的设计和实现,该课题是成都华微电子系统有限公司FPGA大项目中的一子项,目的为在更新的工艺水平上设计出能够兼容单端标准的I/O电路模块;同时针对以前设计的I/O模块不支持双端标准的缺点,要求新的电路模块中扩展出双端标准的部分。文中以低压双端差分标准(LVDS)为代表构建双端标准收发转换电路,与单端标准比较,LVDS具有很多优点: (1)LVDS传输的信号摆幅小,从而功耗低,一般差分线上电流不超过4mA,负载阻抗为100Ω。这一特征使它适合做并行数据传输。 (2)LVDS信号摆幅小,从而使得该结构可以在2.5V的低电压下工作。 (3)LVDS输入单端信号电压可以从0V到2.4V变化,单端信号摆幅为400mV,这样允许输入共模电压从0.2V到2.2V范围内变化,也就是说LVDS允许收发两端地电势有±1V的落差。 本文采用0.18μm1.8V/3.3V混合工艺,辅助Xilinx公司FPGA开发软件ISE,设计完成了可以用于Virtex系列各低端型号FPGA的IOB结构,它有灵活的可配置性和出色的适应能力,能支持大量的I/O标准,其中包括单端标准,也包括双端标准如LVDS等。它具有适应性的优点、可选的特性和考虑到被文件描述的硬件结构特征,这些特点可以改进和简化系统级的设计,为最终的产品设计和生产打下基础。设计中对包括20种IO标准在内的各电器参数按照用户手册描述进行仿真验证,性能参数已达到预期标准。

    标签: FPGA 标准 可编程

    上传时间: 2013-05-15

    上传用户:shawvi

  • 基于ARM的自动开袋机控制系统设计

    自动开袋机是一种比较复杂的机电一体化缝纫机械,用于加工服装口袋,与常规手动开裁缝制口袋相比,具有高效率、高品质、高精度的优势,越来越受到服装厂青睐。自动开袋机控制系统的研究可满足市场对此的需求。 论文根据对自动开袋机的机械结构、电气系统、缝制过程及工艺实现进行分析,提出一种基于ARM9处理器S3C2410和嵌入式WinCE操作系统的控制方案,随后进行了硬件设计、气动控制系统设计以及软件设计。系统的硬件电路部分,论文根据开袋机动作要求及处理器情况,进行了最小系统、电源模块、串口接口、I/O扩展接口、液晶屏显示接口等电路设计。气动控制系统部分,论文进行了满足动作要求的气动元件选型以及系统气动回路设计。系统的软件设计部分,分析了系统启动代码的实现方法,对WinCE操作系统进行定制,并基于EVC开发出应用程序(含用户图形界面)部分。论文最后,进行了系统调试工作,并对课题进行总结和展望。 论文设计的自动开袋机控制系统基于WinCE操作系统,人机界面简洁美观,操作方便,机器功能比较完善,性能好。在研究过程中,对传统的开袋机定位方式进行改进,软件方面考虑到优化性设计。

    标签: ARM 自动 控制系统设计

    上传时间: 2013-04-24

    上传用户:15853744528

  • 基于FPGA的FFT信号处理器的设计与实现

    现场可编程门阵列(FPGA)是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,它结合了微电子技术、电路技术和EDA(Electronics Design Automation)技术。随着它的广泛应用和快速发展,使设计电路的规模和集成度不断提高,同时也带来了电子系统设计方法和设计思想的不断推陈出新。 随着数字电子技术的发展,数字信号处理的理论和技术广泛的应用于通讯、语音处理、计算机和多媒体等领域。离散傅立叶变换(DFT)作为数字信号处理中的基本运算,发挥着重要作用。而快速傅里叶变换(FFT)算法的提出,使离散傅里叶变换的运算量减小了几个数量级,使得数字信号处理的实现变得更加容易。FFT已经成为现代数字信号处理的核心技术之一,因此对FFT算法及其实现方法的研究具有很强的理论和现实意义。 本文主要研究如何利用FPGA实现FFT算法,研制具有自主知识产权的FFT信号处理器。该设计采用高效基-16算法实现了一种4096点FFT复数浮点运算处理器,其蝶形处理单元的基-16运算核采用两级改进的基-4算法级联实现,仅用8个实数乘法器就可实现基-16蝶形单元所需的8次复数乘法运算,在保持处理速度的优势下,比传统的基-16算法节省了75%的乘法器逻辑资源。 在重点研究处理器蝶形单元设计的基础上,本文完成了整个FFT处理器电路的FPGA设计。首先基于对处理器功能和特点的分析,研究了FFT算法的选取和优化,并完成了处理器体系结构的设计;在此基础上,以提高处理器处理速度和减小硬件资源消耗为重点研究了具体的实现方案,完成了1.2万行RTL代码编程,并在XILINX公司提供的ISE 9.1i集成开发环境中实现了处理器各个模块的RTL设计:随后,以XILINX Spartan-3系列FPGA芯片xc3S1000为硬件平台,完成了整个FFT处理器的电路设计实现。 经过仿真验证,本文所设计的FFT处理器芯片运行速度达到了100MHz,占用的FPGA门数为552806,电路的信噪比可以达到50dB以上,达到了高速高性能的设计要求。

    标签: FPGA FFT 信号处理器

    上传时间: 2013-04-24

    上传用户:科学怪人

  • 基于FPGA的RS码编译码器的设计与实现

    研制发射微小卫星,是我国利用空间技术服务经济建设、造福人类的重要途径。现代微小卫星在短短20年里能取得长足的发展,主要取决于微小卫星自身的一系列特点:重量轻,体积小,成本低,性能高,安全可靠,发射方便、快捷灵活等。在卫星通信系统中,由于传输信道的多径和各种噪声的影响,信号在接收端会引起差错,通过信道编码环节,可对这些不可避免的差错进行检测和纠正。 在微小卫星通信链路中,信道编码器的任务是差错控制。本文采用符合空间数据系统咨询委员会CCSDS标准的链接码进行信道编码,即内码为(2,1,6)的卷积码,外码为(255,223)的RS码,中间进行交织操作。其中,里德-索罗蒙码(简称RS码)是一种重要的非二进制BCH码,是分组码中纠错能力最强的纠错码,一次可以纠正多个突发错误,广泛地用于空间通信中。 本文针对南京航空航天大学自行研制的微小卫星通信分系统的技术要求,在用SystemView和C语言仿真的基础上,用硬件描述语言Verilog设计了RS(255,223)编码器和译码器,使用Modelsim软件进行了功能仿真,并通过Xilinx公司的软件ISE对设计进行综合、布局布线,最后生成可下载的比特流文件下载到Xilinx公司的型号为XC3S2000的FPGA芯片中,完成了电路的设计并实现了编码译码的功能,表明本文设计的信道编解码器的正确性和实用性,满足了微小卫星通信分系统的技术要求。

    标签: FPGA RS码 编译码器

    上传时间: 2013-08-01

    上传用户:lili123

  • XILINX.EDK.V7.1.rar

    Xilinx EDK是一个囊括所有用于设计嵌入式编程系统的解决方案。这个预配置的套件包括了Platform Studio工具以及您用嵌入式IBM PowerPC? 硬件处理器核和/或Xilinx MicroBlaze?软处理器核进行Xilinx平台FPGA设计时所需的技术文档和IP.

    标签: XILINX EDK

    上传时间: 2013-06-07

    上传用户:lvzhr

  • ispLEVER Classic0

    在为所有 Xilinx® Virtex®-6 和 Spartan®-6 FPGA 产品系列提供全面生产支持的同时,ISE 12 版本作为业界唯一一款领域专用设计套件,不断发展和演进,可以为逻辑、数字信号处理(DSP)、嵌入式处理以及系统级设计提供互操作性设计流程和工具配置。此外,赛灵思还在 ISE 12 套件中采用了大量软件基础架构,并改进了设计方法,从而不仅可缩短运行时间,提高系统集成度,而且还能在最新一代器件产品系列和目标设计平台上扩展 IP 互操作性

    标签: ispLEVER Classic0

    上传时间: 2013-07-26

    上传用户:青春给了作业95

  • 基于FPGA的USB通信系统的设计

    随着科学技术水平的不断提高,数字集成电路被广泛应用。通用串行总线USB(Universal Serial Bus)是计算机与外围设备互连的标准接口之一,是一种点对点的通信接口,可同时支持多个外围设备。USB2.0规范的通信速率非常高,其峰值可达480Mbit/s,使得它已经成为目前最流行的外设接口标准。FPGA芯片是今后电子产品发展的趋势,带有USB接口的FPGA系统将有很好的市场需求和发展前景。    论文主要从研究FPGA的结构、Xilinx公司Spartan3F系列中的XC3S400的引脚功能、了解FPGA开发流程、熟悉USB2.0的通信协议以及驱动的一些基本知识入手,目的是完成带有USB接口的FPGA的PCB板的制作和FPGA内部程序的编写以及USB固件的开发。结合了Cypress公司的上位机,开发了基于USB接口的FPGA和PC机通信系统,能够进行数据传输。论文研究了Xilinx的3S400芯片的内部结构和各个引脚的功能,设计了关于Xilinx的3S400最小系统电路图,在Xilinx的FPGA的开发环境,编写了FPGA的代码。由于FPGA内嵌的USB2.0的内核价格昂贵,需要向生产FPGA的芯片厂商购买,因此论文选择了外接USB芯片,虽然增加了PCB板的面积,但其开发成本较低,且技术成熟,大多数USB通信研究者进行广泛研究。论文在详细介绍了USB2.0的通信协议,Cypress公司生产的CY7C68013芯片的结构,以及其固件的开发基础上,开发了基于FPGA的USB与PC机的通信系统,该通信系统可以和上位机进行点对点的数据传输,为大批量的数据通信产品的开发提供了研究和生产的基础。

    标签: FPGA USB 通信系统

    上传时间: 2013-07-26

    上传用户:xz85592677

  • 《电子设计从零开始》

    基本信息 ·出版社:清华大学出版社 ·页码:360 页 ·出版日期:2005年10月 ·ISBN:7302115095 ·条形码:9787302115090 ·版本:第1版 ·装帧:平装 ·开本:16开 Pages Per Sheet -------------------------------------------------------------------------------- 内容简介 《电子设计从零开始》全书分为三大部分,共17章。第1章至第8章深入浅出地介绍了模拟电路的相关知识;第9章至第11章是数字电路部分,介绍了一些基本概念和系统开发过程中经常使用的器件;从第12章到结束是以51单片机为例的单片机应用技术介绍,其中有大量的实例和完整的程序。 电子设计涉及的知识面广、难度大,初学者往往不知从何入手。《电子设计从零开始》结合了作者多年的学习与辅导经验,全面系统地介绍了进行电子设计与制作所需要的各种知识,包括模拟电路、数字电路和单片机应用基础,并结合Multisim仿真软件对大部分实例进行了演示。 -------------------------------------------------------------------------------- 编辑推荐 《电子设计从零开始》通过“讲故事”的形式将这三部分内容逐步展开,并结合电路仿真软Multisim 2001对一些实例进行了演示和验证。着眼技术的应用,并不苛求计算和深刻的理论理解正是《电子设计从零开始》编写时的目的;讲求通俗易懂,在阅读时应当注意提取知识点和实例中蕴含的技巧。书中还有一个特点就是插图丰富,这对理解所讲内容是很有帮助的。 《电子设计从零开始》适合电类本、专科学生作为全面掌握电子设计基础知识的参考书;也可作为无线电爱好者的实例参考用书;对于学有余力的非电类工科学生以及对电子设计感兴趣的中学生朋友来说,也是一本很好的全面了解电子设计基础知识的入门读物。 -------------------------------------------------------------------------------- 目录 第一章 走进电子技术 第二章 收音机里蕴含知识 第三章 制作第一件电子作品 第四章 从扩音机中学放大器 第五章 制作一台多媒体音箱 第六章 振荡器丰富多彩 第七章 集成电路ABC 第八章 传感器及其他器件 第九章 数字启航 第十章 逻辑门应用 第十一章 翻转与计数 第十二章 单片机就在我们身边 第十三章 单片机和LED 第十四章 单片机下命令 第十五章 跑马灯 第十六章 马表与时钟 第十七章 采集我们的声音 附录A Multisim2001的安装 附录B Multisim2001的菜单栏 附录C Multisim2001中的虚拟仪表 附录D 数字电路综合设计——数字钟 附录E ASCⅡ码表 参考文献 ……

    标签: 电子设计

    上传时间: 2013-06-05

    上传用户:HGH77P99

  • 关于xilinx的fpga设计

    关于xilinx的fpga设计,华为公司内部资料,不是随便可以看的到得。

    标签: xilinx fpga

    上传时间: 2013-08-05

    上传用户:wanglf7409

  • FPGA设计与应用教学课件

    FPGA设计与应用教学课件.ppt 吐血为大家提供

    标签: FPGA 教学课件

    上传时间: 2013-08-07

    上传用户:chenjjer