虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

p-si-LCD

  • LVDS和TTL板的接口定义及连接原理图

    LVDS和TTL板的接口定义及连接原理图: TTL板与LVDS 相同 一、接口定义: 1、 LCD MODULE与驱动板之间的信号线接口定义如下:VDS接口又称RS-644总线接口,是20世纪90年代才出现的一种数据传输和接口技术。LVDS即低电压差分信号,这种技术的核心是采用极低的电压摆幅高速差动传输数据,可以实现点对点或一点对多点的连接,具有低功耗、低误码率、低串扰和低辐射等特点,其传输介质可以是铜质的PCB连线,也可以是平衡电缆。LVDS在对信号完整性、低抖动及共模特性要求较高的系统中得到了越来越广泛的应用。目前,流行的LVDS技术规范有两个标准:一个是TIA/EIA(电讯工业联盟/电子工业联盟)的ANSI/TIA/EIA-644标准,另一个是IEEE 1596.3标准。

    标签: LVDS TTL 接口定义 原理图

    上传时间: 2013-10-14

    上传用户:wangchong

  • 基于ARM11处理器的嵌入式视频处理终端设计与实现

    基于ARM11的嵌入式视频处理终端设计 在研究了基于ARMl 1体系结构的Samsung$3C6410处理器的基 础上,给出了多格式视频编解码的使用方法和Windows CE下中断流 驱动的设计方法,为Windows CE操作系统下的图像采集和视频处理 的复杂控制提供了软件实现的方法;并以该处理器为核心,加上外部 存储器和USB摄像头等接口电路,完成了一个嵌入式视频处理终端 核心板的硬件原理图设计和PCB图的设计,并对视频处理终端的印 制电路板的电磁兼容进行了研究。 首先对嵌入式系统和视频处理进行了简单的介绍,指出了采用 $3C6410处理器设计的视频处理终端具有的优势。其次,对$3C6410 多格式视频编解码的使用进行了仔细分析,为多格式视频编解码软件 的编写提供了思路。给出了Windows CE下中断流驱动程序的设计方 法,为主处理器和BIT处理器在Windows CE下中断流驱动的设计提 供了一种较为通用的参考模型。第三,在熟悉了S3C64lO处理器的 体系结构基础上设计出了下列电路原理图:电源及复位电路,时钟电 路,DDR SDRAM和FLASH存储器电路,USB接口电路,串口电路, JTAG接口电路,LCD和TSP接口电路。整个嵌入式视频处理终端是 一个可以独立工作的可扩展系统,该系统主要用于图像采集和视频编 解码功能。另外,分别从滤波和接地等电磁兼容性设计手段出发,对 这些方法进行了理论分析,提出了提高视频处理终端电磁兼容的措 施。最后,通过编写简单的应用程序,视频处理终端对图像进行H.264 编码,可以通过无线网卡进行传输编码后的图像。测试结果表明,视 频处理终端能够实现视频图像的拍摄、压缩、无线视频传送和视频监 控等功能。

    标签: ARM 11 处理器 嵌入式

    上传时间: 2013-11-22

    上传用户:谁偷了我的麦兜

  • SOC设计SI分析优化方法研究

    基于集成电路规模与设计工艺不断发展的现状,SI问题日益突出和严重。系统介绍了SOC设计SI的概念、分类及产生基理,根据电路工程设计经验,重点阐述了在SOC设计SI的设计、优化、分析方法,介绍了利用EDA设计工具在芯片设计过程中对SI进行阻止、优化、分析的流程及方法,并对各种设计优化方法进行了利弊的对比分析,对芯片设计提供了很好的指导,结合EDA工具及合理的设计流程方法能够有效的保证芯片设计的良率和性能。

    标签: SOC 方法研究

    上传时间: 2013-11-01

    上传用户:copu

  • 嵌入式系统开发的模式与流程

      嵌入式系统硬件模型结构,此系统主要由微处理器MPU、外围电路,以及外设组成,微处理器为ARM 嵌入式处理芯片,如ARM7TMDI 系列及ARM9 系列微处理器,MPU 为整个嵌入式系统硬件的核心,决定了整个系统功能和应用领域。外围电路根据微处理器不同而略有不同,主要由电源管理模型、时钟模块、闪存FIASH、随机存储器RAM,以及只读存储器ROM 组成。这些设备是一个微处理器正常工作所必须的设备。外部设备将根据需要而各不相同,如通用通信接口USB、RS-232、RJ-45 等,输入输出设备,如键盘、LCD 等。外部设备将根据需要定制。

    标签: 嵌入式 系统开发 模式 流程

    上传时间: 2013-11-02

    上传用户:cjl42111

  • 嵌入式Linux LCD设备驱动分析

      一、开发环境

    标签: Linux LCD 嵌入式

    上传时间: 2013-11-08

    上传用户:a3318966

  • 最详细的NIOSII教程

      核心板配置    核心板配置癿FPGA芯片是Cyclone II系列癿EP2C8Q208C,具有8256个LEs,36个M4K RAM blocks (4Kbits plus 512 parity bits),同时具有165,888bit癿RAM,支持18个Embedded multipliers和2个PLL,资源配备十分丰富。实验证明,返款芯片在嵌入NIOS II软核将黑釐开収板癿所有外讴全部跑起来,仅占全部资源癿70-80% ;    核心板同时配备了64Mbit癿SDRAM,对亍运行NIOS软核提供了有力癿保障,返款芯片为时钟频率有143MHz,实验证明,NIOS II软核主频可以平稳运行120MHz,速度迓是相当忚癿;    16Mbit癿配置芯片也为返款核心板增色丌少,丌仅可以存储配置信息,同时迓可以实现NIOS II软件程序存储,你编写癿程序再大也没有后顼乀忧了。    20M癿有源晶振也是必丌可少癿,他是整个系统癿时钟源泉;4个LED对亍调试来说更是提供了径多方便;复位按键,重新配置按键,配置指示灯一个也丌能少;同时支持AS模式和JTAG模式;    除此以外,核心板一个更大的特点是它可以独立亍底板单独运行,为此配备了5V癿电源接口,高质量癿红色开关,为了安全迓加入了自恢复保险丝。当然扩展口是丌能少癿,除了SDRAM占用癿38个IO口外,其他100个IO全部扩展出来,为大家可以迕行自我扩展实验做好了充分癿准备。   四、 下扩展板配置   为了让FPGA収挥它癿强大功能,黑釐开収板为其讴计一款资源丰富癿下扩展板(乀所以叨下扩展板,是因为我们后续迓会有上扩展板)。下面我们就来简单介终一下下扩展板癿资源配置。    支持网络功能,配置ENC28J60网口芯片。ENC28J60是Microchip Technology(美国微芯科技公司)推出癿28引脚独立以太网控刢器。目前市场上大部分以太网控刢器癿封装均赸过80引脚,而符吅IEEE 802.3协议癿ENC28J60叧有28引脚,既能提供相应癿功能,又可以大大简化相关讴计,减小空间;    支持USB功能,配置CH376芯片。CH376 支持USB 讴备方式和USB 主机方式,幵丏内置了USB 途讯协议癿基本固件,内置了处理Mass-Storage海量存储讴备癿与用途讯协议癿固件,内置了SD 卡癿途讯接口固件,内置了FAT16和FAT32 以及FAT12 文件系统癿管理固件,支持常用癿USB 存储讴备(包括U 盘/USB 硬盘/USB 闪存盘/USB 读卡器)和SD 卡(包括标准容量SD 卡和高容量HC-SD 卡以及协议兼容癿MMC 卡和TF 卡);    支持板载128*64的点阵LCD。ST7565P控刢芯片,内置DC/DC电路,途过软件调节对比度。该芯片支持,幵口和串口丟种方式;

    标签: NIOSII 教程

    上传时间: 2013-11-23

    上传用户:ouyangtongze

  • STM32V100开发板LCD屏用户手册

    STM32V100开发板LCD屏用户手册

    标签: V100 STM 100 32V

    上传时间: 2014-12-30

    上传用户:jennyzai

  • 基于MC9S12XHY系列的汽车控制解决方案

            电子发烧友讯: 飞思卡尔是全球嵌入式处理解决方案、高级汽车电子、消费电子、工业控制和网络市场的领导者。从微处理器和微控制器到传感器、模拟集成电路(IC)和连接,我们的技术为创新奠定基础,构建更加环保、安全、健康和互连的世界   MC9S12XHY系列是飞思卡尔公司的经过优化的,汽车16位微控制器产品系列,具有低成本,高性能的特点。该系列是联接低端16位微控制器(如:MC9S12HY系列),和高性能32位解决方案的桥梁。MC9S12XHY系列定位于低端汽车仪器群集应用,它包括支持CAN和LIN/J2602通信,并传送典型的群集请求,如步进失速检测(SSD)和LCD驱动器的步进电机控制。   MC9S12XHY系列具有16位微控制器的所有优点和效率,同时又保持了飞思卡尔公司现有的8位和16位MCU系列的优势,即低成本、低功耗、EMC和代码尺寸效率等优点。与MC9S12HY系列相同,MC9S12XHY系列可以运行16位宽的访问,而不会出现外设和存储器的等待状态。MC9S12XHY系列为100引脚LQFP和112引脚LQFP封装,旨在最大限度地与100LQFP,MC9S12HY系列兼容。除了每个模块具有I/O端口外,还可提供更多的,具有中断功能的I/O端口,具有从停止或等待模式唤醒功能。    图1 MC9S12XHY系列方框图截图

    标签: MC9 S12 XHY MC

    上传时间: 2014-12-31

    上传用户:66666

  • LCD工艺生产介绍及罗升横河DD马达在LCD玻璃划片机的应用

    摘要:本文介绍了LCD生产工艺流程、玻璃划片机的构架,技术特点,以及罗升横河DD马达的结构特点、适合LCD玻璃激光划片机应用。展望了LCD设备在国内的发展方向。关键字:罗升横河DD马达、高精度、高刚性、划片机、LCD

    标签: LCD 工艺 划片机 横河

    上传时间: 2013-11-04

    上传用户:yare

  • lcd计数显示程序

    library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is     Port ( clk : in std_logic;      resetn : in std_logic;            dout : out std_logic_vector(7 downto 0);            lcd_en : out std_logic;            lcd_rs : out std_logic;            lcd_rw   : out std_logic); end counter;

    标签: lcd 计数显示 程序

    上传时间: 2013-10-30

    上传用户:wqxstar