虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

dvb-SI

  • dvb-s2x

    dvb-s2x标准的bch码仿真程序,matlab版本

    标签: dvb-s 2x

    上传时间: 2018-12-20

    上传用户:digitallife_wj

  • DVB-FPGA

    dvb_fpga  DVB发端FPGA相关参考代码

    标签: DVB-FPGA

    上传时间: 2020-04-11

    上传用户:风且行吖

  • 纳米Si薄膜场发射压力传感器研究.pdf

    纳米Si薄膜场发射压力传感器研究.pdf

    标签: 压力传感器

    上传时间: 2022-01-14

    上传用户:pagedown

  • Allegro-PCB-SI-一步一步学会前仿真.pdf

    Allegro PCB SI的前仿真  前仿真,顾名思义,就是布局或布线前的仿真,是以优化信号质量、避免信号完整性和电源完整性为目的,  在众多的影响因素中,找到可行的、乃至最优化的解决方案的分析和仿真过程。简单的说,前仿真要做到两件  事:其一是找到解决方案;其二是将解决方案转化成规则指导和控制设计。  一般而言,我们可以通过前仿真确认器件的IO特性参数乃至型号的选择,传输线的阻抗乃至电路板的叠层,  匹配元件的位置和元件值,传输线的拓扑结构和分段长度等。  使用Allegro PCB SI进行前仿真的基本流程如下:  ■ 准备仿真模型和其他需求  ■ 仿真前的规划  ■ 关键器件预布局  ■ 模型加载和仿真配置  ■ 方案空间分析  ■ 方案到约束规则的转化  2.1 准备仿真模型和其他需求  在本阶段,我们需要为使用Allegro PCB SI进行前仿真做如下准备工作:PCB 打板,器件代采购,贴片,一站式服务!www.massembly.com  麦斯艾姆,最贴心的研发伙伴! www.massembly.com  研发样

    标签: allegro pcb

    上传时间: 2022-02-09

    上传用户:slq1234567890

  • allegro 基础仿真,Allegro PCB SI 一步一步学会前仿真

    allegro SI仿真基本步骤,适合新手

    标签: Allegro PCB

    上传时间: 2022-05-25

    上传用户:突破自我

  • 高速电路板级SI、PI、EMI设计

    现代电路设计不断朝高速、高密度、低电压、大电流趋势发展,信号完整性(Signal Integrity,SI)、电源完整性(Power Integrity,Pl)和电磁兼容(Electromagnetic Compatibility,EMC)问题日益突出。传统设计方法显得力不从心,需综合三者间相互影响进行协同设计。本文首先介绍了高速电路SI、PI及EMC问题,接着重点分析了SI-PI协同仿真分析技术以及系统EMC权衡策略。通过对SSN耦合机制的分析,讨论了SI与PI之间的相互影响,并提出了两种用于SI-PI协同仿真的简化模型。在此基础上开发了SI-PI协同仿真分析工具——SI-PI Co-sim Tool,并以DDR3内存仿真分析为例介绍了工具的应用。本文对SI-PI协同建模仿真技术的分析,直观展示了电源噪声对信号传输质量的影响,在此基础上开发的SI-PI协同分析工具可很好地辅助高速电路设计。

    标签: 高速电路板 si pi emi

    上传时间: 2022-07-25

    上传用户:hbsun

  • DVBSS2调制器的设计及其FPGA实现.rar

    数字高清电视是当前世界上最先进的图像压缩编码技术和数字传输技术的结合,是高技术竞争的焦点之一。其中,信道处理系统及其相关芯片更是集中了数字信号处理、前向纠错编解码等数字电视传输的核心技术,成为设计和开发整个数字电视系统的关键技术之一。本文以卫星数字电视的信道处理系统为对象,结合国际通行的DVB-S/S2标准,研究了该系统在发射端的设计与实现所涉及到的一系列内容。 本文介绍了数字电视的发展概况和主要标准,特别是对我国卫星电视的发展进行了详细的介绍。然后,本文DVB-S/S2信道处理系统的基本原理进行了介绍和分析,主要包括RS码、卷积码、BCH码、LDPC码等的差错编码的基本原理,以及基带信号处理的基本原理。在此基础上对两种系统的传输性能和DVB-S2的后向兼容系统分别进行了基于Matlab的仿真。最后阐述了基于FPGA的DVB-S调制器的信道编码和调制实现,按功能对DVB-S/S2信道编码过程进行模块分解,并针对每个模块进行工作原理分析、算法分析、HDL描述、时序仿真及FPGA实现。DVB-S/S2调制器的核心是信道编码和调制部分,利用FPGA在数字信号处理方面的优势,本文重点对其中的几个关键模块,包括RS编码、卷积交织器、卷积编码、BCH编码、LDPC编码等的实现算法进行了比较详细的分析,并通过HDL描述和时序仿真来验证算法正确性。

    标签: DVBSS2 FPGA 调制器

    上传时间: 2013-07-10

    上传用户:gmh1314

  • 数字电视传输系统中LDPC码编码器的研究与FPGA实现.rar

    自香农先生于1948年开创信息论以来,经过将近60年的发展,信道编码技术已经成为通信领域的一个重要分支,各种编码技术层出不穷。目前广泛研究的低密度奇偶校验(LDCP)码是由R.G.Gallager先生提出的一种具有逼近香农限性能的优秀纠错码,并已在数字电视、无线通信、磁盘存储等领域得到大量应用。 目前数字电视已经成为最热门的话题之一,用手机看北京奥运,已经成为每一个中国人的梦想。最近两年我国颁布了两部与数字电视有关的通信标准,分别是数字电视地面传输标准(DMB-TH)和移动多媒体(CMMB)即俗称的手机电视标准。数字电视正与每个人走得越来越近,我国预期在2015年全面实现数字电视并停止模拟电视的播出。作为数字电视标准的核心技术之一的前向纠错码技术已经成为众多科研单位的研究热点,相应的编解码芯片更成为重中之重。在DMB-TH标准中用到了LDPC码和BCH码的级联编码方式,在CMMB标准中用到了LDPC码和RS码的级联编码方式,在DVB-S2标准中用到了LDPC码和BCH码的级联编码方式。 本论文以目前最重要的三个与数字电视相关的标准:数字电视地面传输标准(DMB-TH)、手机电视标准(CMMB)以及数字卫星电视广播标准(DVB-S2)为切入点,深入研究它们的编码方式,设计了这三个标准中的LDPC码编码器,并在FPGA上实现了前两个标准的编码芯片,实现了DMB-TH标准中0.4、0.6以及0.8三种码率的复用。在研究CMMB标准中编码器设计时,提出一种改进的LU分解算法,该分解方式适合任意的H矩阵,具有一定的广泛性。测试结果表明,芯片逻辑功能完全正确,速度和资源消耗均达到了标准的要求,具有一定的商用价值。

    标签: LDPC FPGA 数字电视

    上传时间: 2013-07-07

    上传用户:327000306

  • 基于FPGA与AD9857的四路DVBC调制器的设计.rar

    随着数字时代的到来,信息化程度的不断提高,人们相互之间的信息和数据交换日益增加。正交幅度调制器(QAM Modulator)作为一种高频谱利用率的数字调制方式,在数字电视广播、固定宽带无线接入、卫星通信、数字微波传输等宽带通信领域得到了广泛应用。 近年来,集成电路和数字通信技术飞速发展,FPGA作为集成度高、使用方便、代码可移植性等优点的通用逻辑开发芯片,在电子设计行业深受欢迎,市场占有率不断攀升。本文研究基于FPGA与AD9857实现四路QAM调制的全过程。FPGA实现信源处理、信道编码输出四路基带I/Q信号,AD9857实现对四路I/Q信号的调制,输出中频信号。本文具体内容总结如下: 1.介绍国内数字电视发展状况、国内国际的数字电视标准,并详细介绍国内有线电视的系统组成及QAM调制器的发展过程。 2.研究了QAM调制原理,其中包括信源编码、TS流标准格式转换、信道编码的原理及AD9857的工作原理等。并着重研究了信道编码过程,包括能量扩散、RS编码、数据交织、星座映射与差分编码等。 3.深入研究了基于FPAG与AD9857电路设计,其中包括详细研究了FPGA与AD9857的电路设计、在allegro下的PCB设计及光绘文件的制作,并做成成品。 4.简单介绍了FPGA的开发流程。 5.深入研究了基于FPAG代码开发,其中主要包括I2C接口实现,ASI到SPI的转换,信道编码中的TS流包处理、能量扩散、RS编码、数据交织、星座映射与差分编码的实现及AD9857的FPGA控制使其实现四路QAM的调制。 6.介绍代码测试、电路测试及系统指标测试。 最终系统指标测试表明基于FPGA与AD9857的四路DVB-C调制器基本达到了国标的要求。

    标签: FPGA 9857 DVBC

    上传时间: 2013-04-24

    上传用户:sn2080395

  • 基于FPGA的TS流复用器及其接口的设计与实现.rar

    在数字电视系统中,MPEG-2编码复用器是系统传输的核心环节,所有的节目、数据以及各种增值服务都是通过复用打包成传输流传输出去。目前,只有少数公司掌握复用器的核心算法技术,能够采用MPEG-2可变码率统计复用方法提高带宽利用率,保证高质量图像传输。由于目前正处广播电视全面向数字化过渡期间,市场潜力巨大,因此对复用器的研究开发非常重要。本文针对复用器及其接口技术进行研究并设计出成形产品。 文中首先对MPEG-2标准及NIOS Ⅱ软核进行分析。重点研究了复用器中的部分关键技术:PSI信息提取及重构算法、PID映射方法、PCR校正及CRC校验算法,给出了实现方法,并通过了硬件验证。然后对复用器中主要用到的AsI接口和DS3接口进行了分析与研究,给出了设计方法,并通过了硬件验证。 本文的主要工作如下: ●首先对复用器整体功能进行详细分析,并划分软硬件各自需要完成的功能。给出复用器的整体方案以及ASI接口和DS3接口设计方案。 ●在FPGA上采用c语言实现了PSI信息提取与重构算法。 ●给出了实现快速的PID映射方法,并根据FPGA特点给出一种新的PID映射方法,减少了逻辑资源的使用,提高了稳定性。 ●采用Verilog设计了SI信息提取与重构的硬件平台,并用c语言实现了SDT表的提取与重构算法,在FPGA中成功实现了动态分配内存空间。 ●在FPGA上实现了.ASI接口,主要分析了位同步的实现过程,实现了一种新的快速实现字节同步的设计。 ●在FPGA上实现了DS3接口,提出并实现了一种兼容式DS3接口设计。并对帧同步设计进行改进。 ●完成部分PCB版图设计,并进行调试监测。 本复用器设计最大特点是将软件设计和硬件设计进行合理划分,硬件平台及接口采用Verilog语言实现,PSI信息算法主要采用c语言实现。这种软硬件的划分使系统设计更加灵活,且软件设计与硬件设计可同时进行,极大的提高了工作效率。 整个项目设计采用verilog和c两种语言完成,采用Altera公司的FPGA芯片EP1C20,在Quartus和NIOS IDE两种设计平台下设计实现。根据此方案已经开发出两台带有ASI和DS3接口的数字电视TS流复用器,经测试达到了预期的性能和技术指标。

    标签: FPGA TS流 复用器

    上传时间: 2013-08-03

    上传用户:gdgzhym