虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

dvb-SI

  • LDPC码译码器FPGA实现研究

    LDPC码以其接近Shannon极限的优异性能在编码界引起了轰动,成为研究的热点。随着研究的不断深入和技术的发展,目前,LDPC码已经被多个通信系统定为信道编码方案,并被应用到第二代数字视频广播卫星(DVB—S2)通信系统中。由于LDPC码译码过程中所涉及的数据量庞大,译码时序控制复杂,如何实现LDPC码译码器成为了人们研究的重点。 论文以基于FPGA实现LDPC码译码器为研究目标,主要对译码算法选择、译码数据量化、定点数据表示方式、译码算法关键运算单元的FPGA设计和译码的时序控制进行了深入研究。首先分析了LDPC码的基本译码原理和常用译码算法。然后重点分析了BP算法、Log-BP算法、最小和算法和归一化最小和算法,并对四种译码算法的纠错性能和译码复杂度进行比较论证,选出适合硬件实现的译码方案。结合通信系统,对译码算法进行仿真分析,确定了译码算法的各个参数值和译码量化方案。 在系统仿真分析论证的基础之上,以归一化最小和译码算法为理论方案,利用硬件描述语言编写译码功能模块,并基于FPGA实现了固定译码长度的LDPC码译码器,利用MATLAB和Modelsim分别对译码器进行了功能验证和时序验证,最后模拟通信系统完成了译码器的硬件测试。

    标签: LDPC FPGA 译码器 实现研究

    上传时间: 2013-04-24

    上传用户:1234567890qqq

  • 高吞吐量LDPC码编码构造及其FPGA实现

    低密度校验码(LDPC,Low Density Parity Check Code)是一种性能接近香农极限的信道编码,已被广泛地采用到各种无线通信领域标准中,包括我国的数字电视地面传输标准、欧洲第二代卫星数字视频广播标准(DVB-S2,Digital Video Broadcasting-Satellite 2)、IEEE 802.11n、IEEE 802.16e等。它是3G乃至将来4G通信系统中的核心技术之一。 当今LDPC码构造的主流方向有两个,分别是结合准循环(QC,Quasi Cyclic)移位结构的单次扩展构造和类似重复累积(RA,Repeat Accumulate)码构造。相应地,主要的LDPC码编码算法有基于生成矩阵的算法和基于迭代译码的算法。基于生成矩阵的编码算法吞吐量高,但是需要较多的寄存器和ROM资源;基于迭代译码的编码算法实现简单,但是吞吐量不高,且不容易构造高性能的好码。 本文在研究了上述几种码构造和编码算法之后,结合编译码器综合实现的复杂度考虑,提出了一种切实可行的基于二次扩展(Dex,Duplex Expansion)的QC-LDPC码构造方法,以实现高吞吐量的LDPC码收发端;并且充分利用该类码校验矩阵准循环移位结构的特点,结合RU算法,提出了一种新编码器的设计方案。 基于二次扩展的QC-LDPC码构造方法,是通过对母矩阵先后进行乱序扩展(Pex,Permutation Expansion)和循环移位扩展(CSEx,Cyclic Shift Expansion)实现的。在此基础上,为了实现可变码长、可变码率,一般编译码器需同时支持多个乱序扩展和循环移位扩展的扩展因子。本文所述二次扩展构造方法的特点在于,固定循环移位扩展的扩展因子大小不变,支持多个乱序扩展的扩展因子,使得译码器结构得以精简;构造得到的码字具有近似规则码的结构,便于硬件实现;(伪)随机生成的循环移位系数能够提高码字的误码性能,是对硬件实现和误码性能的一种折中。 新编码器在很大程度上考虑了资源的复用,使得实现复杂度近似与码长成正比。考虑到吞吐量的要求,新编码器结构完全抛弃了RU算法中串行的前向替换(FS,Forward Substitution)模块,同时简化了流水线结构,由原先RU算法的6级降低为4级;为了缩短编码延时,设计时安排每一级流水线计算所需的时钟数大致相同。 这种码字构造和编码联合设计方案具有以下优势:相比RU算法,新方案对可变码长、可变码率的支持更灵活,吞吐量也更大;相比基于生成矩阵的编码算法,新方案节省了50%以上的寄存器和ROM资源,单位资源下的吞吐量更大;相比类似重复累积码结构的基于迭代译码的编码算法,新方案使高性能LDPC码的构造更为方便。以上结果都在Xilinx Virtex II pro 70 FPGA上得到验证。 通过在实验板上实测表明,上述基于二次扩展的QC-LDPC码构造和相应的编码方案能够实现高吞吐量LDPC码收发端,在实际应用中具有很高的价值。 目前,LDPC码正向着非规则、自适应、信源信道及调制联合编码方向发展。跨层联合编码的构造方法,及其对应的编码算法,也必将成为信道编码理论未来的研究重点。

    标签: LDPC FPGA 吞吐量 编码

    上传时间: 2013-07-26

    上传用户:qoovoop

  • 基于DVD应用的RS编译码器的研究

    纠错码技术是一种通过增加一定冗余信息来提高信息传输可靠性的有效方法。RS码是一种典型的纠错码,在线性分组码中,它具有最强的纠错能力,既能纠正随机错误,也能纠正突发错误,在深空通信、移动通信、磁盘阵列、光存储及数字视频广播(DVB)等系统中具有广泛的应用。 DVD是一种高容量的存储媒质。DVD技术的应用很广泛,在数字技术中占有重要地位。DVD系统中采用里德-所罗门乘积码(RS-PC:Reed-Solomon ProductCode)进行纠错,RS码译码器在伺服芯片中具有重要作用。 FPGA在开发阶段具有安全、方便、可随时修改设计等不可替代的优点,在电子系统中采用FPGA可以极大的提升硬件系统设计的灵活性,可靠性,同时提高硬件开发的速度和降低系统的成本。FPGA的固有优点使其得到越来越广泛的应用,FPGA设计技术也被越来越多的设计人员所掌握。 本文首先介绍了编码理论和常用的RS编译码算法,提出RS编码器实现方案,详细分析了译码器的ME算法和改进BM算法的实现,针对ME算法提出了一种流水线结构的纠删纠错RS译码器实现方案,在译码器复杂度和延时上作了折衷,降低了译码器的复杂度并提高了最高工作频率,利用有限域乘法器的特性对编译码电路进行优化。这些技术的采用大大的提高了RS编译码器的效率,节省了RS编译码器占用的资源。在Xilinx公司的Virtex-II系列FPGA上设计并成功实现了RS(208,192)编译码器。

    标签: DVD RS编译码

    上传时间: 2013-07-20

    上传用户:xinshou123456

  • 如何用ST7 PWM ST7 BRM产生模拟信号输出(50Hz正弦波)

    The purpose of this note is to present how to use the ST7 PWM/BRM for the generation of a50Hz si

    标签: ST7 PWM BRM 50

    上传时间: 2013-06-01

    上传用户:huyanju

  • 基于FPGA实现DVBS信道编码及调制

    DVB-S(Digital Video Broadcasting bv Satellite)调制器是符合DVB-S协议的数字电视前端设备之一,也满足我国数字电视卫星广播标准,该设备可以广泛应用于数字电视卫星业务和相关数字电视业务。本文主要阐述了基于FPGA实现DVB-S调制器的信道编码和调制,按功能对DVB-S信道编码过程进行模块分解、模块接口定义,针对每个模块进行工作原理分析、算法分析、HDL描述、时序仿真及FPGA实现;DVB-S调制器的核心是信道编码和调制部分,利用FPGA在数字信号处理方面的优势,本文重点对其中的几个关键模块,包括RS编码、卷积交织器和卷积穿孔编码等的实现算法进行了比较详细的分析,并通过HDL描述和时序仿真来验证算法正确性;对FPGA各模块的资源进行了估计、利用Altera公司的Cyclone器件的内部锁相环实现ASI信号的接收;最后对整机进行了测试,测试结果表明,本文设计的DVB-S调制器技术指标满足设计要求。

    标签: FPGA DVBS 信道编码 调制

    上传时间: 2013-04-24

    上传用户:gmh1314

  • TS流复用器及其接口

    在数字电视系统中,MPEG-2编码复用器是系统传输的核心环节,所有的节目、数据以及各种增值服务都是通过复用打包成传输流传输出去。目前,只有少数公司掌握复用器的核心算法技术,能够采用MPEG-2可变码率统计复用方法提高带宽利用率,保证高质量图像传输。由于目前正处广播电视全面向数字化过渡期间,市场潜力巨大,因此对复用器的研究开发非常重要。本文针对复用器及其接口技术进行研究并设计出成形产品。 文中首先对MPEG-2标准及NIOS Ⅱ软核进行分析。重点研究了复用器中的部分关键技术:PSI信息提取及重构算法、PID映射方法、PCR校正及CRC校验算法,给出了实现方法,并通过了硬件验证。然后对复用器中主要用到的AsI接口和DS3接口进行了分析与研究,给出了设计方法,并通过了硬件验证。 本文的主要工作如下: ●首先对复用器整体功能进行详细分析,并划分软硬件各自需要完成的功能。给出复用器的整体方案以及ASI接口和DS3接口设计方案。 ●在FPGA上采用c语言实现了PSI信息提取与重构算法。 ●给出了实现快速的PID映射方法,并根据FPGA特点给出一种新的PID映射方法,减少了逻辑资源的使用,提高了稳定性。 ●采用Verilog设计了SI信息提取与重构的硬件平台,并用c语言实现了SDT表的提取与重构算法,在FPGA中成功实现了动态分配内存空间。 ●在FPGA上实现了.ASI接口,主要分析了位同步的实现过程,实现了一种新的快速实现字节同步的设计。 ●在FPGA上实现了DS3接口,提出并实现了一种兼容式DS3接口设计。并对帧同步设计进行改进。 ●完成部分PCB版图设计,并进行调试监测。 本复用器设计最大特点是将软件设计和硬件设计进行合理划分,硬件平台及接口采用Verilog语言实现,PSI信息算法主要采用c语言实现。这种软硬件的划分使系统设计更加灵活,且软件设计与硬件设计可同时进行,极大的提高了工作效率。 整个项目设计采用verilog和c两种语言完成,采用Altera公司的FPGA芯片EP1C20,在Quartus和NIOS IDE两种设计平台下设计实现。根据此方案已经开发出两台带有ASI和DS3接口的数字电视TS流复用器,经测试达到了预期的性能和技术指标。

    标签: TS流 复用器 接口

    上传时间: 2013-06-10

    上传用户:01010101

  • 四路DVBC调制器的设计

    随着数字时代的到来,信息化程度的不断提高,人们相互之间的信息和数据交换日益增加。正交幅度调制器(QAM Modulator)作为一种高频谱利用率的数字调制方式,在数字电视广播、固定宽带无线接入、卫星通信、数字微波传输等宽带通信领域得到了广泛应用。 近年来,集成电路和数字通信技术飞速发展,FPGA作为集成度高、使用方便、代码可移植性等优点的通用逻辑开发芯片,在电子设计行业深受欢迎,市场占有率不断攀升。本文研究基于FPGA与AD9857实现四路QAM调制的全过程。FPGA实现信源处理、信道编码输出四路基带I/Q信号,AD9857实现对四路I/Q信号的调制,输出中频信号。本文具体内容总结如下: 1.介绍国内数字电视发展状况、国内国际的数字电视标准,并详细介绍国内有线电视的系统组成及QAM调制器的发展过程。 2.研究了QAM调制原理,其中包括信源编码、TS流标准格式转换、信道编码的原理及AD9857的工作原理等。并着重研究了信道编码过程,包括能量扩散、RS编码、数据交织、星座映射与差分编码等。 3.深入研究了基于FPAG与AD9857电路设计,其中包括详细研究了FPGA与AD9857的电路设计、在allegro下的PCB设计及光绘文件的制作,并做成成品。 4.简单介绍了FPGA的开发流程。 5.深入研究了基于FPAG代码开发,其中主要包括I2C接口实现,ASI到SPI的转换,信道编码中的TS流包处理、能量扩散、RS编码、数据交织、星座映射与差分编码的实现及AD9857的FPGA控制使其实现四路QAM的调制。 6.介绍代码测试、电路测试及系统指标测试。 最终系统指标测试表明基于FPGA与AD9857的四路DVB-C调制器基本达到了国标的要求。

    标签: DVBC 调制器

    上传时间: 2013-07-05

    上传用户:leehom61

  • DVBT系统中内编解码模块的软件仿真

    数字电视按传输方式分为地面、卫星和有线三种。其中,DVB-S和DVB-C这两个全球化的卫星和有线传输方式标准,目前已作为世界统一标准被大多数国家所接受。而对于地面数字电视广播标准,经国际电讯联盟(ITU)批准的共有三个,包括欧盟的DVB-T(Digital Video Broadcasting-Terrestrial,数字视频地面广播)标准、美国的ATSC(Advanced Television System Committee,先进电视制式委员会)标准和日本的ISDB-T(Terrestrial Integrated Services DigitalBroadcasting,综合业务数字广播)标准。综合比较起来,欧洲的DVB-T标准在技术及应用实践上都更加成熟。 本论文首先介绍了DVB-T系统的主要结构,针对DVB-T标准中各模块的实现进行了阐述,并根据发射机端各个模块讨论了接收机端相关模块的算法设计。 随后,论文给出了基于Microsoft Visual Studio 2005平台实现的数字电视基带信号产生与接收的软件仿真系统的总体设计流程,重点讨论了内编解码器和内交织/解交织器的算法与实现,并在实现的多参数可选的数字电视基带信号产生与接收软件仿真平台上,重点分析了内编/解码模块在接收端Viterbi译码算法中采用硬判决、简化软判决以及不同调制方式时对DVB-T系统整体性能的影响。 最后,论文讨论了内码译码算法的实现改进,使得Viterbi译码更适合在FPGA上实现,同时针对逻辑设计进行优化以便节省硬件资源。论文重点讨论了对幸存路径信息存储译码模块的改进,比较了此模块三种不同的实现方式带来的硬件速率和资源的优劣,通过利用4块RAM对幸存路径信息的交互读写,完成了对传统回溯算法的改进,实现了加窗回溯的译码输出,同时实现了回溯长度可配置以实现系统不同的性能要求。

    标签: DVBT 模块 编解码

    上传时间: 2013-08-02

    上传用户:远远ssad

  • Cadence SI仿真教程

    仿真教程仿真教程仿真教程仿真教程仿真教程仿真教程

    标签: Cadence SI仿真 教程

    上传时间: 2013-09-05

    上传用户:rishian

  • 手机PCB图 手机pcb layout六层板

    手机PCB之PROTEL设计图纸

    标签: layout PCB pcb 手机

    上传时间: 2013-11-23

    上传用户:boyaboy