虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

DVB-FPGA

  • DVB-FPGA

    dvb_fpga  DVB发端FPGA相关参考代码

    标签: DVB-FPGA

    上传时间: 2020-04-11

    上传用户:风且行吖

  • DVB系统信道编码的研究与FPGA实现.rar

    数字图像通信的最广泛的应用就是数字电视广播系统,与以往的模拟电视业务相比,数字电视在节省频谱资源、提高节目质量方面带来了一场新的革命,而与此对应的DVB(Digital Video Broadcasting)标准的建立更是加速了数字电视广播系统的大规模应用。DVB标准选定MPEG—2标准作为音频及视频的编码压缩方式,随后对MPEG—2码流进行打包形成TS流(transport stream),进行多个传输流复用,最后通过不同媒介进行传输。在DVB标准的传输系统中,无论是卫星传输,电缆传输还是地面传输,为了保障图像质量,使数字节目在传输过程中避免出现因受到各种信道噪声干扰而出现失真的现象,都采用了信道编码的方式来保护传输数据。信道编码是数字通信系统中一个必需的、重要的环节。 信道编码设计方案的优劣决定了DVB系统的成功与否,本文重点研究了DVB系统中的信道编码算法及其FPGA实现方案,主要进行了如下几项工作: 1)介绍了DVB系统信道编码的基本概念及特点,深入研究了DVB标准中信道编码部分的关键技术,并针对每个信道编码模块进行工作原理分析、算法分析。 2)根据DVB信道编码的特点,重点对信道编码中四个模块,包括扰码、RS编码、卷积交织编码和卷积编码的FPGA硬件实现算法进行了比较详细的分析,并阐述了每个模块及QPSK调制的设计方案及实现模块功能的程序流程。 3)在RS(204,188)编码过程中,利用有限域常数乘法器的特点,对编码器进行了优化,在很大程度上提高了编码效率,卷积交织器部分采用RAM移位法,实现起来更为简单且节省了FPGA器件内部资源。 4)设计以Altera公司的QuartusⅡ为开发平台,利用FPGA芯片EP1C6Q240C8完成了信道编码各模块及QPSK调制的硬件实现,通过Verilog HDL描述和时序仿真来验证算法的可行性,并给出系统设计中减少毛刺的方法,使系统更为稳定。最终的系统仿真结果表明该系统工作稳定,达到了DVB系统信道编码设计的要求。

    标签: FPGA DVB

    上传时间: 2013-06-26

    上传用户:allen-zhao123

  • DVB系统中RS编解码器的FPGA实现

    该论文讨论如何采用一种串行无逆的Berlekamp-Massey(BM)算法,设计应用于DVB系统中的RS(204,188)信道编码/解码电路,并通过FPGA的验证.RS解码器的设计采用无逆BM算法,并利用串行方式来实现,不仅避免了求逆运算,而且只需用3个有限域乘法器就可以实现,大大的降低了硬件实现的复杂度,并且因为在硬件实现上,采用了3级流水线(pipe-line)的处理结构.RS编码器的设计中,利用有限域常数乘法器的特性对编码电路进行优化.这些技术的采用大大的提高了RS编/解码器的效率,节省了RS编/解码器所占用资源.

    标签: FPGA DVB RS编解码

    上传时间: 2013-08-05

    上传用户:BOBOniu

  • DVBSS2调制器的设计及其FPGA实现.rar

    数字高清电视是当前世界上最先进的图像压缩编码技术和数字传输技术的结合,是高技术竞争的焦点之一。其中,信道处理系统及其相关芯片更是集中了数字信号处理、前向纠错编解码等数字电视传输的核心技术,成为设计和开发整个数字电视系统的关键技术之一。本文以卫星数字电视的信道处理系统为对象,结合国际通行的DVB-S/S2标准,研究了该系统在发射端的设计与实现所涉及到的一系列内容。 本文介绍了数字电视的发展概况和主要标准,特别是对我国卫星电视的发展进行了详细的介绍。然后,本文DVB-S/S2信道处理系统的基本原理进行了介绍和分析,主要包括RS码、卷积码、BCH码、LDPC码等的差错编码的基本原理,以及基带信号处理的基本原理。在此基础上对两种系统的传输性能和DVB-S2的后向兼容系统分别进行了基于Matlab的仿真。最后阐述了基于FPGA的DVB-S调制器的信道编码和调制实现,按功能对DVB-S/S2信道编码过程进行模块分解,并针对每个模块进行工作原理分析、算法分析、HDL描述、时序仿真及FPGA实现。DVB-S/S2调制器的核心是信道编码和调制部分,利用FPGA在数字信号处理方面的优势,本文重点对其中的几个关键模块,包括RS编码、卷积交织器、卷积编码、BCH编码、LDPC编码等的实现算法进行了比较详细的分析,并通过HDL描述和时序仿真来验证算法正确性。

    标签: DVBSS2 FPGA 调制器

    上传时间: 2013-07-10

    上传用户:gmh1314

  • 数字电视传输系统中LDPC码编码器的研究与FPGA实现.rar

    自香农先生于1948年开创信息论以来,经过将近60年的发展,信道编码技术已经成为通信领域的一个重要分支,各种编码技术层出不穷。目前广泛研究的低密度奇偶校验(LDCP)码是由R.G.Gallager先生提出的一种具有逼近香农限性能的优秀纠错码,并已在数字电视、无线通信、磁盘存储等领域得到大量应用。 目前数字电视已经成为最热门的话题之一,用手机看北京奥运,已经成为每一个中国人的梦想。最近两年我国颁布了两部与数字电视有关的通信标准,分别是数字电视地面传输标准(DMB-TH)和移动多媒体(CMMB)即俗称的手机电视标准。数字电视正与每个人走得越来越近,我国预期在2015年全面实现数字电视并停止模拟电视的播出。作为数字电视标准的核心技术之一的前向纠错码技术已经成为众多科研单位的研究热点,相应的编解码芯片更成为重中之重。在DMB-TH标准中用到了LDPC码和BCH码的级联编码方式,在CMMB标准中用到了LDPC码和RS码的级联编码方式,在DVB-S2标准中用到了LDPC码和BCH码的级联编码方式。 本论文以目前最重要的三个与数字电视相关的标准:数字电视地面传输标准(DMB-TH)、手机电视标准(CMMB)以及数字卫星电视广播标准(DVB-S2)为切入点,深入研究它们的编码方式,设计了这三个标准中的LDPC码编码器,并在FPGA上实现了前两个标准的编码芯片,实现了DMB-TH标准中0.4、0.6以及0.8三种码率的复用。在研究CMMB标准中编码器设计时,提出一种改进的LU分解算法,该分解方式适合任意的H矩阵,具有一定的广泛性。测试结果表明,芯片逻辑功能完全正确,速度和资源消耗均达到了标准的要求,具有一定的商用价值。

    标签: LDPC FPGA 数字电视

    上传时间: 2013-07-07

    上传用户:327000306

  • 基于FPGA与AD9857的四路DVBC调制器的设计.rar

    随着数字时代的到来,信息化程度的不断提高,人们相互之间的信息和数据交换日益增加。正交幅度调制器(QAM Modulator)作为一种高频谱利用率的数字调制方式,在数字电视广播、固定宽带无线接入、卫星通信、数字微波传输等宽带通信领域得到了广泛应用。 近年来,集成电路和数字通信技术飞速发展,FPGA作为集成度高、使用方便、代码可移植性等优点的通用逻辑开发芯片,在电子设计行业深受欢迎,市场占有率不断攀升。本文研究基于FPGA与AD9857实现四路QAM调制的全过程。FPGA实现信源处理、信道编码输出四路基带I/Q信号,AD9857实现对四路I/Q信号的调制,输出中频信号。本文具体内容总结如下: 1.介绍国内数字电视发展状况、国内国际的数字电视标准,并详细介绍国内有线电视的系统组成及QAM调制器的发展过程。 2.研究了QAM调制原理,其中包括信源编码、TS流标准格式转换、信道编码的原理及AD9857的工作原理等。并着重研究了信道编码过程,包括能量扩散、RS编码、数据交织、星座映射与差分编码等。 3.深入研究了基于FPAG与AD9857电路设计,其中包括详细研究了FPGA与AD9857的电路设计、在allegro下的PCB设计及光绘文件的制作,并做成成品。 4.简单介绍了FPGA的开发流程。 5.深入研究了基于FPAG代码开发,其中主要包括I2C接口实现,ASI到SPI的转换,信道编码中的TS流包处理、能量扩散、RS编码、数据交织、星座映射与差分编码的实现及AD9857的FPGA控制使其实现四路QAM的调制。 6.介绍代码测试、电路测试及系统指标测试。 最终系统指标测试表明基于FPGA与AD9857的四路DVB-C调制器基本达到了国标的要求。

    标签: FPGA 9857 DVBC

    上传时间: 2013-04-24

    上传用户:sn2080395

  • 基于FPGA的MPEG2TS码流实时分析与检测系统.rar

    当前我国正处在从模拟电视系统向数字电视系统的转型期,数字电视用户数量激增,其趋势是在未来的几年内数字电视将迅速普及。在应用逐渐广泛的数字电视系统中,监控数字电视服务正成为一种越来越迫切的需要。然而,目前对于数字电视并没有合适的监测仪器,因此无法及时方便地诊断出现问题的信号以及隔离需要维修的数字化设备。通常只有当电视屏幕上的图像消失时我们才知道数字信号系统出了问题。几乎没有任何线索可以用来找到问题的所在或原因,码流分析仪器在这种情况下应运而生。目前在数字电视系统的前端,通过监控了解数字视频广播(DVB)信号和服务的状况从而采取措施比通过观众的反映而采取措施要主动和及时得多。传输流(TS)的测试设备可使技术人员分析码流的内部情况,它们在决定未来服务质量和客户满意度方面将扮演更重要的角色。 本文着重研究了在DVB广播电视系统中,DVB-ASI信号的解码、MPEG-2TS的实时检错原理和基于现场可编辑门阵列(FPGA)的实现方法。文章首先阐述了数字电视系统的一些基本概念,介绍了MPEG-2/DVB标准、ETR101 290标准、异步串行接口(ASI)。然后介绍了FPGA的基本概念与开发FPGA所使用的软件工具。最后根据DVB-ASI接收系统的解码规则与MPEG-2TS码流的结构提出了一套基于FPGA的MPEG-2TS码流实时分析与检测系统设计方案并予以了实现。 在本系统中,FPGA起着核心的作用,主要完成DVB-ASI的解码、MPEG-2TS码流检错、以及数字电视节目专有信息(PSI)提取等功能。本文实现的系统与传统的码流分析仪相比具有集成度较高、易扩展、便于携带、稳定性好、性价比高等优点。

    标签: MPEG2TS FPGA 码流

    上传时间: 2013-06-04

    上传用户:love1314

  • RS编译码器的设计与FPGA实现

    Reed-Solomon码(简称RS码)是一种具有很强纠正突发和随机错误能力的信道编码方式,在深空通信、移动通信、磁盘阵列以及数字视频广播(DVB)等系统中具有广泛的应用。 本文简要介绍了有限域基本运算的算法和常用的RS编码算法,分析了改进后的Euclid算法和改进后的BM算法,针对改进后的BM算法提出了一种流水线结构的译码器实现方案并改进了该算法的实现结构,在译码器复杂度和译码延时上作了折衷,降低了译码器的复杂度并提高了译码器的最高工作频率。在Xilinx公司的Virtex-Ⅱ系列FPGA上设计实现了RS(255,239)编译码器,证明了该方案的可行性。

    标签: FPGA RS编译码

    上传时间: 2013-06-11

    上传用户:奇奇奔奔

  • 基于FPGA的MPEG-2预处理TS流复用设计及验证

      本文着重研究了多路数字节目复用器中的对多路预处理TS流复用的原理和基于FPGA的实现方法。首先论述了关于数字电视系统的一些基本概念,介绍了MPEG-2/DVB标准以及数字电视节目专用信息(PSI),并结合多路数字节目复用的基本原理提出了一套基于FPGA的设计方案。通过对复用器输入部分、复用控制逻辑和PCR校正等一系列模块的设计及仿真验证,达到了设计的要求,取得了一定的研究成果。

    标签: FPGA MPEG 预处理 TS流

    上传时间: 2013-06-09

    上传用户:bugtamor

  • 数字电视环境下JVM在FPGA上的实现

    本文通过对当前国际上现有的数字电视标准和数字电视中间件标准进行比较,根据我国市场的实际情况,选择了欧洲数字电视(DVB)中间件标准DVB-MHP,深入分析了基于MHP的数字电视中间件模型.Java平台是基于MHP中间件模型的核心,本文通过深入分析Java平台的构成和Java虚拟机(JVM)的结构和运行原理,并结合适合嵌入式环境的KVM的原理及体系结构,提出了将KVM以FPGA的硬件方式实现的方案.根据数字电视的实际需要对KVM进行适当剪裁,以适应数字电视的嵌入式环境,并设计了相应的功能模块,最后在设计基础上用VHDL加以实现,对于核心模块做了仿真和验证.此外,本文还综述了EDA技术和FPGA器件的发展概况,并较为详细的介绍利用EDA技术进行设计开发的一般流程,最后在FPGA上实现JVM.

    标签: FPGA JVM 数字电视 环境

    上传时间: 2013-07-02

    上传用户:dba1592201