虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

cp1h 高速计数触发中断注意点

  • 基于FPGA的双自触发脉冲激光测距关键技术研究

    激光测距技术被广泛应用于现代工业测量、航空与大地的测量、国防及通信等诸多领域。本文从已获得广泛应用的脉冲激光测距技术入手,重点分析了近年提出的自触发脉冲激光测距技术(STPLR)特别是其中的双自触发脉冲激光测距技术(BSTPLR),通过分析发现其核心部件之一就是用于测量激光脉冲飞行时间(周期)的高精度高速计数器,而目前一般的方式是采用昂贵的进口高速计数器或专用集成电路(ASIC)来完成,这使得激光测距仪在研发、系统的改造升级和自主知识产权保护等诸多方面受到制约,同时在其整体性能上特别是在集成化、小型化和高可靠性方面带来阻碍。为此,本文研究了采用现场可编程门阵列(FPGA)来实现脉冲激光测距中的高精度高速计数及其他相关功能,基本解决了以上存在的问题。 论文通过对双自触发脉冲激光测距的主要技术要求和技术指标进行分析,对其中的信号处理单元采用了FPGA+单片机的设计形式。由FPGA主控芯片(EPF10K20TC144-4)作为周期测量模块,在整个测距系统中是信号处理的核心部件,借助其用户可编程特性及很高的内部时钟频率,设计了专用于BSTPLR的高速高精度计数芯片,负责对测距信号产生电路中的时刻鉴别电路输出信号进行计数。数据处理模块则主要由单片机(AT89C51)来实现。系统可以通过键盘预置门控信号的宽度以均衡测量的精度和速度,测量结果采用7位LED数码管显示。本设计在近距离(大尺寸)范围内实验测试时基本满足设计要求。

    标签: FPGA 自触发脉冲 激光测距 关键技术

    上传时间: 2013-04-24

    上传用户:dapangxie

  • DSP芯片凭其优异的性能在高速计算领域有着巨大的应用前景。但其应用所设计的知识非常庞杂。DSP芯片(TMS320LF2407)的结构和原理以及汇编语言编程部分学习时主要是参考北航的《TMS320LF2

    DSP芯片凭其优异的性能在高速计算领域有着巨大的应用前景。但其应用所设计的知识非常庞杂。DSP芯片(TMS320LF2407)的结构和原理以及汇编语言编程部分学习时主要是参考北航的《TMS320LF240X DSP结构、原理及应用》,C语言编程主要是参考北航的《TMS320LF240X DSP C语言开发应用》,下面就近期学习DSP编程的心得,总结了以下几个DSP编程的注意点。

    标签: DSP 320 TMS 2407

    上传时间: 2016-06-19

    上传用户:qq21508895

  • S7-200西门子PLC例程源码100例合集: PLC S7-200程序实例

    S7-200西门子PLC例程源码100例合集:PLC S7-200程序实例--程控喷泉程序.rarplc200例子.rarS200配料程序.rars7 200例子程序.rars7 200做的转盘的程序,6个工位.rarS7 Array and Indirect Addressing Examples and Basics.zips7-200 modbus主、从站例子程序.rarS7-200 modbus主从站标准例程.rarS7-200 Modbus主站通讯程序.rarS7-200 Modbus从站通讯测试.rarS7-200 PID控制例程1.rarS7-200 PID控制例程2.rarS7-200 PID控制例程3.rarS7-200 PWM控制实例.rarS7-200 变频控制例程.zipS7-200 恒压供水,一拖三实例.rars7-200 称重程序.rarS7-200 自由口通讯测试程序A.rarS7-200 自由口通讯程序 方式B.rarS7-200 自由口通讯程序 方式C.rars7-200 高速计数及pid控制之恒速控制.rarS7-200PLC控制恒压变频供水的PLC程序.rarS7-200PLC的程序结构.rarS7-200_315-DP与EM277的PROFIBUS通讯.rarS7-200_315-DP与EM277的PROFIBUS通讯.zipS7-200_50例程.rarS7-200_与S7300之间的MPI通讯(1).zipS7-200_与S7300之间的MPI通讯.zipS7-200_与S7300的以太网通讯(1).zipS7-200_与S7300的以太网通讯.zipS7-200_之间通讯和链接.rarS7-200_时间设定与读取.rarS7-200下的布袋除尘器控制程序.rarS7-200与6RA70之间的USS通讯.zipS7-200与ABB550变频器通讯实例.rarS7-200与DDM4A数显表通信1.rarS7-200与DDM4A数显表通信2.rarS7-200与MM440通过USS协议通讯例子.rarS7-200与PC之间的连接:从WINDOWS应用程序中读数据.rarS7-200与S7-300通信实例及步骤.rarS7-200与三垦变频器通信实例1.rarS7-200与三垦变频器通信实例2.rarS7-200与三垦变频器通信实例3.rarS7-200与富士PXR仪表自由通讯口协议通信.rarS7-200与易能EDS1000变频器的通讯.rars7-200之多种方法实现单按钮电路.rarS7-200之间数据通讯.rarS7-200使用EM253控制伺服的PLC程序.rarS7-200供水(两台循环)实例.rars7-200做Modbus RTU.rars7-200做modbus主站与杰曼仪表通讯程序.rarS7-200利用MODBUS主站库与LG变频的通讯程序.rarS7-200和变频器自由口通信.zipS7-200处理定时中断.zips7-200实例.rarS7-200实验用程序(1).rarS7-200实验用程序.rarS7-200工程.rarS7-200带TD操作面板.rars7-200库 格雷码.rars7-200应用实例.pdfS7-200控制步进电机.rarS7-200控制步进电机带加速.rars7-200控制的水平小车程序.rars7-200数控机床程序.rarS7-200料仓下料器程序.rars7-200模拟量处理子程序.rarS7-200水处理程序(1).rarS7-200水处理程序.rarS7-200玻璃瓶压盖机的程序.rars7-200生料磨收尘器.rarS7-200用定时中断计算累计流量的程序.rars7-200电梯程序.rarS7-200电泳线流水线控制程序.rars7-200的发脉冲.rarS7-200的格雷码与二进制的转换、S7-200寻址例程、S7-200时间设定与读取、S7-200之间的通讯与链接.........rarS7-200直线插补程序.rarS7-200程序----秤.rars7-200程序1.rars7-200程序实例.rarS7-200脉冲输出测试程序.rarS7-200自由口与打印机通讯.rarS7-200西门子PLC例程源码100例合集.zipS7-200通过EM277通讯.zipS7-200造纸应用程序,多点传动,带通讯.rars7-200锅炉半自动控制.rarS7-200静电除尘.rarS7-212用自由通信口模式和并行打印机相连.rarS7-212通过自由

    标签: S7-300

    上传时间: 2021-10-22

    上传用户:wwa875

  • 基于ARMCPLD的高速运动控制器的开发和应用

    目前运动控制主要有两种实现方式,一是使用PLC加运动控制模块来实现:二是使用PC加运动控制卡来实现。两者各有优缺点,但两者有以下共同的缺点:一是由于它们儿乎都是采用通用微控制器(MCU和DSP)来实现电机控制,由于受CPU速度的限制,以及CPU的多个进程同时处理,故无法在控制精度和控制速度比较高的场合中应用。二是它们的设计只是把运动控制部件当作系统的一个部分,如果要完成一个机械设备的完整控制,还需要辅助有其他的数字量/模拟量控制设备。这样在提高了系统成本的同时,也降低了系统的可靠性。 论文设计了一种基于ARM+CPLD的高速运动控制器,该控制器采用高速的CPLD处理器来完成电机的闭环控制,辅助以NXP的32位ARM7TDMI处理器LPC231X来实现复杂的运动规划,使得运动控制精度更高、速度更快、运动更加平稳;同时为系统扩展了常规运动控制卡不具备的通用I/O接口,除开4轴运动控制所需要的8点高速脉冲输入和8点高速脉冲输出外,系统具有24点数字量输入(可选共阴或共阳),25点继电器输出,仅一台这样的专用设备就可以完成4轴运动控制和设备上其它开关量控制。 系统采用可移植的软、硬件设计。硬件上以运动控制部件为核心,可以方便的在ARM处理器预留的资源上扩展出数字输入,数字输出,AD输入,DA输出等常用功能模块。系统软件构架如下:在最上层,系统采用μC/OS-Ⅱ操作系统来完成系统任务调度;在底层,将底层设备的操作打包编写成底层驱动的形式,可直接供用户程序调用;在中间层,可根据不同的用户要求编写用户程序,再将其传递给μC/OS-Ⅱ来调度该用户程序。 将该运动控制器应用于工业应用中的套标机,在对套标机进行运动分解之后,结合套标机的电气特性,很好的实现了运动控制器在套标机上的二次开发,满足了套标机在现场中的应用。

    标签: ARMCPLD 运动控制器

    上传时间: 2013-04-24

    上传用户:牛津鞋

  • 利用Allegro进行差分信号(Differential Signal)在高速电路设计需要注意的问题

    差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,差分线大多为电路中最关键的信号,差分线布线的好坏直接影响到PCB板子信号质量。

    标签: Differential Allegro Signal 差分信号

    上传时间: 2013-09-04

    上传用户:jennyzai

  • 单片机外围线路设计

    当拿到一张CASE单时,首先得确定的是能用什么母体才能实现此功能,然后才能展开对外围硬件电路的设计,因此首先得了解每个母体的基本功能及特点,下面大至的介绍一下本公司常用的IC:单芯片解决方案• SN8P1900 系列–  高精度 16-Bit  模数转换器–  可编程运算放大器 (PGIA)•  信号放大低漂移: 2V•  放大倍数可编程: 1/16/64/128  倍–  升压- 稳压调节器 (Charge-Pump Regulator)•  电源输入: 2.4V ~ 5V•  稳压输出: e.g. 3.8V at SN8P1909–  内置液晶驱动电路 (LCD Driver)–  单芯片解决方案 •  耳温枪  SN8P1909 LQFP 80 Pins• 5000 解析度量测器 SN8P1908 LQFP 64 Pins•  体重计  SN8P1907 SSOP 48 Pins单芯片解决方案• SN8P1820 系列–  精确的12-Bit  模数转换器–  可编程运算放大器 (PGIA)• Gain Stage One: Low Offset 5V, Gain: 16/32/64/128• Gain Stage One: Low Offset 2mV, Gain: 1.3 ~ 2.5–  升压- 稳压调节器•  电源输入: 2.4V ~ 5V•  稳压输出: e.g. 3.8V at SN8P1829–  内置可编程运算放大电路–  内置液晶驱动电路 –  单芯片解决方案 •  电子医疗器 SN8P1829 LQFP 80 Pins 高速/低功耗/高可靠性微控制器• 最新SN8P2000 系列– SN8P2500/2600/2700 系列– 高度抗交流杂讯能力• 标准瞬间电压脉冲群测试 (EFT): IEC 1000-4-4• 杂讯直接灌入芯片电源输入端• 只需添加1颗 2.2F/50V 旁路电容• 测试指标稳超 4000V (欧规)– 高可靠性复位电路保证系统正常运行• 支持外部复位和内部上电复位• 内置1.8V 低电压侦测可靠复位电路• 内置看门狗计时器保证程序跳飞可靠复位– 高抗静电/栓锁效应能力– 芯片工作温度有所提高: -200C ~ 700C     工规芯片温度: -400C ~ 850C 高速/低功耗/高可靠性微控制器• 最新 SN8P2000 系列– SN8P2500/2600/2700 系列– 1T  精简指令级结构• 1T:  一个外部振荡周期执行一条指令•  工作速度可达16 MIPS / 16 MHz Crystal–  工作消耗电流 < 2mA at 1-MIPS/5V–  睡眠模式下消耗电流 < 1A / 5V额外功能• 高速脉宽调制输出 (PWM)– 8-Bit PWM up to 23 KHz at 12 MHz System Clock– 6-Bit PWM up to 93 KHz  at 12 MHz System Clock– 4-Bit PWM up to 375 KHz  at 12 MHz System Clock• 内置高速16 MHz RC振荡器 (SN8P2501A)• 电压变化唤醒功能• 可编程控制沿触发/中断功能– 上升沿 / 下降沿 / 双沿触发• 串行编程接口

    标签: 单片机 线路设计

    上传时间: 2013-10-21

    上传用户:jiahao131

  • 采用高速串行收发器Rocket I/O实现数据率为2.5 G

    摘要: 串行传输技术具有更高的传输速率和更低的设计成本, 已成为业界首选, 被广泛应用于高速通信领域。提出了一种新的高速串行传输接口的设计方案, 改进了Aurora 协议数据帧格式定义的弊端, 并采用高速串行收发器Rocket I/O, 实现数据率为2.5 Gbps的高速串行传输。关键词: 高速串行传输; Rocket I/O; Aurora 协议 为促使FPGA 芯片与串行传输技术更好地结合以满足市场需求, Xilinx 公司适时推出了内嵌高速串行收发器RocketI/O 的Virtex II Pro 系列FPGA 和可升级的小型链路层协议———Aurora 协议。Rocket I/O支持从622 Mbps 至3.125 Gbps的全双工传输速率, 还具有8 B/10 B 编解码、时钟生成及恢复等功能, 可以理想地适用于芯片之间或背板的高速串行数据传输。Aurora 协议是为专有上层协议或行业标准的上层协议提供透明接口的第一款串行互连协议, 可用于高速线性通路之间的点到点串行数据传输, 同时其可扩展的带宽, 为系统设计人员提供了所需要的灵活性[4]。但该协议帧格式的定义存在弊端,会导致系统资源的浪费。本文提出的设计方案可以改进Aurora 协议的固有缺陷,提高系统性能, 实现数据率为2.5 Gbps 的高速串行传输, 具有良好的可行性和广阔的应用前景。

    标签: Rocket 2.5 高速串行 收发器

    上传时间: 2013-11-06

    上传用户:smallfish

  • 采用高速串行收发器Rocket I/O实现数据率为2.5 G

    摘要: 串行传输技术具有更高的传输速率和更低的设计成本, 已成为业界首选, 被广泛应用于高速通信领域。提出了一种新的高速串行传输接口的设计方案, 改进了Aurora 协议数据帧格式定义的弊端, 并采用高速串行收发器Rocket I/O, 实现数据率为2.5 Gbps的高速串行传输。关键词: 高速串行传输; Rocket I/O; Aurora 协议 为促使FPGA 芯片与串行传输技术更好地结合以满足市场需求, Xilinx 公司适时推出了内嵌高速串行收发器RocketI/O 的Virtex II Pro 系列FPGA 和可升级的小型链路层协议———Aurora 协议。Rocket I/O支持从622 Mbps 至3.125 Gbps的全双工传输速率, 还具有8 B/10 B 编解码、时钟生成及恢复等功能, 可以理想地适用于芯片之间或背板的高速串行数据传输。Aurora 协议是为专有上层协议或行业标准的上层协议提供透明接口的第一款串行互连协议, 可用于高速线性通路之间的点到点串行数据传输, 同时其可扩展的带宽, 为系统设计人员提供了所需要的灵活性[4]。但该协议帧格式的定义存在弊端,会导致系统资源的浪费。本文提出的设计方案可以改进Aurora 协议的固有缺陷,提高系统性能, 实现数据率为2.5 Gbps 的高速串行传输, 具有良好的可行性和广阔的应用前景。

    标签: Rocket 2.5 高速串行 收发器

    上传时间: 2013-10-13

    上传用户:lml1234lml

  • S3C44B0的外部中断测试程序

    S3C44B0的外部中断测试程序,按键通过Eint6触发中断

    标签: S3C44B0 外部中断 测试程序

    上传时间: 2013-12-29

    上传用户:偷心的海盗

  • 检测BUTTON下降沿

    检测BUTTON下降沿,触发中断处理,点亮相应的LED,并在数 码管上显示操作的按钮序号,是一个简要的中断处理范例。

    标签: BUTTON 检测

    上传时间: 2013-12-23

    上传用户:dengzb84