虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

XILINX-XUPV

  • 带有SerDes接口的PLB千兆位级以太网MAC

    This application note describes a reference system which illustrates how to build an embeddedPowerPC® system using the Xilinx 1-Gigabit Ethernet Media Access Controller processor core.This system has the PLB_Gemac configured to use Scatter/Gather Direct Memory Access andthe Serializer/Deserializer (SerDes) interface. This application note describes how to set up thespecific clocking structure required for the SerDes interface and the constraints to be added tothe UCF file. This reference system is complete with a standalone software application to testsome of the main features of this core, including access to registers, DMA capabilities, transmitand receive in loopback mode. This reference system is targeted for the ML300 evaluationboard.

    标签: SerDes PLB MAC 接口

    上传时间: 2013-11-01

    上传用户:truth12

  • 基于FPGA的10M/100M以太网控制器的设计

    介绍了一种10M/ 100M 以太网控制器的实现方法,该控制器以FIFO 作为帧缓存,通过程序设计实现10M/ 100M 自适应,设计中采用WS 接口,提高了设计的灵活行,可以实现与其他SOC 的互连[1 ] ,该设计采用VerilogHDL 硬件描述语言编程,基于ISE 开发环境,在Xilinx 公司的Spartan2 Ⅲ系列FPGA XC3S1000242FT256C 上实现。关键词:以太网MAC;10M/ 100M; FPGA ;VerilogHDL

    标签: FPGA 100 10 以太网控制器

    上传时间: 2013-10-18

    上传用户:liglechongchong

  • 基于RocketIO的高速串行协议设计与实现

    采用Xilinx 公司Virtex- II Pro 系列FPGA 内嵌得SERDES 模块———RocketIO 作为高速串行协议的物理层, 利用其8B/10B的编解码和串化、解串功能, 实现了两板间基于数据帧的简单高速串行传输, 并在ISE 环境中对整个协议进行了仿真, 当系统频率为100MHz, 串行速率在2Gbps 时, 在验证板上用chipscope 抓取的数据表明能够实现两板间数据的高速无误串行传输。关键词: RocketIO;高速串行传输;SERDES;协议

    标签: RocketIO 高速串行 协议设计

    上传时间: 2013-10-21

    上传用户:xy@1314

  • 千兆以太网SOPC系统的实现

    网络正在成为当今社会通用通信的骨干力量,现代化的设备迫切需要解决如何简洁高速的接入问题。涉及了基于FPGA 的嵌入式技术。简要介绍了使用Xilinx 的EDK 和ISE 等工具的设计流程和设计实现支持TCP/ IP 协议的10M/ 100M/ 1000M以太网SOPC 系统的工程实例,并对涉及的关键技术进行了说明,列出了实物系统的指标测试结果。关键词 FPGA;EDK;SOPC;嵌入式开发;EMAC;PowePc

    标签: SOPC 千兆以太网

    上传时间: 2013-11-16

    上传用户:66666

  • 一种片上系统复位电路的设计

    设计了一种片上系统(SoC)复位电路。该电路能对外部输入信号进行同步化处理以抑制亚稳态,采用多级D触发器进行滤波提升抗干扰能力,并且控制产生系统所需的复位时序以满足软硬件协同设计需求。同时,完成了可测性设计(DFT)。基于Xilinx spartan-6 FPGA进行了验证。结果表明该电路可以抑制90 ?滋s以下的外部干扰信号,并能正确产生系统所需的复位信号。

    标签: 片上系统 复位电路

    上传时间: 2014-12-29

    上传用户:guojin_0704

  • 基于OpenBus系统的FPGA嵌入式设计与实现

    随着FPGA技术的发展,FPGA设计已不再只是硬件电路的设计,而是包含处理器、外围组件和接口逻辑在内的完整数字系统,同时在处理器中编程完成嵌入式代码的FPGA“软”设计。与传统的主要基于硬件描述语言进行FPGA设计开发不同,本文在电路设计软件Altium Designer开发环境下,结合Xilinx公司的ISE设计软件,在Altium Designer的创新电子设计平台NanoBoard 3000上,设计实现了基于Altium Designer特有的系统级设计方法OpenBus系统的32位处理器控制LED的FPGA嵌入式设计。

    标签: OpenBus FPGA 嵌入式设计

    上传时间: 2013-11-09

    上传用户:亚亚娟娟123

  • 用于Xilinx FPGA的Maxim参考设计

    MAX8686 25A Buck稳压器

    标签: Xilinx Maxim FPGA 参考设计

    上传时间: 2013-11-15

    上传用户:旭521

  • 基于AXI总线的MicroBlaze双核SoPC系统设计

    目的是利用嵌入在Xilinx FPGA中的MicroBlaze核实现基于AXI总线的双核嵌入式系统设计以及共享实现LED灯的时控.

    标签: MicroBlaze SoPC AXI 总线

    上传时间: 2014-12-30

    上传用户:stewart·

  • XAPP996-双处理器参考设计套件

    This is the Xilinx Dual Processor Reference Designs suite. The designs illustrate a few differentdual-core architectures based on the MicroBlaze™ and PowerPC™ processors. The designsillustrate various concepts described in the Xilinx White Paper WP262 titled, “DesigningMultiprocessor Systems in Platform Studio”. There are simple software applications includedwith the reference designs that show various forms of interaction between the two processors.

    标签: XAPP 996 双处理器 参考设计

    上传时间: 2013-10-29

    上传用户:旭521

  • 基于Virtex5的PCI接口电路

    PCI Express是由Intel,Dell,Compaq,IBM,Microsoft等PCI SIG联合成立的Arapahoe Work Group共同草拟并推举成取代PCI总线标准的下一代标准。PCI Express利用串行的连接特点能轻松将数据传输速度提到一个很高的频率,达到远远超出PCI总线的传输速率。一个PCI Express连接可以被配置成x1,x2,x4,x8,x12,x16和x32的数据带宽。x1的通道能实现单向312.5 MB/s(2.5 Gb/s)的传输速率。Xilinx公司的Virtex5系列FPGA芯片内嵌PCI-ExpressEndpoint Block硬核,为实现单片可配置PCI-Express总线解决方案提供了可能。  本文在研究PCI-Express接口协议和PCI-Express Endpoint Block硬核的基础上,使用Virtex5LXT50 FPGA芯片设计PCI Express接口硬件电路,实现PCI-Express数据传输

    标签: Virtex5 PCI 接口电路

    上传时间: 2013-12-27

    上传用户:wtrl