虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

XILINX-XUPV

  • 无线通信FPGA设计_田耘

    《无线通信FPGA设计》以Xilinx公司的FPGA开发平台为基础,综合FPGA和无线通信技术两个方向,通过大量的FPGA开发实例,较为详尽地描述了无线通信中常用模块的原理和实现流程,包括数字信号处理基础、数字滤波器、多速率信号处理、数字调制与解调、信道编码、系统同步、自适应滤波算法、最佳接收机,以及WCDMA系统的关键技术。

    标签: FPGA 无线通信

    上传时间: 2014-01-23

    上传用户:kernaling

  • lcd计数显示程序

    library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is     Port ( clk : in std_logic;      resetn : in std_logic;            dout : out std_logic_vector(7 downto 0);            lcd_en : out std_logic;            lcd_rs : out std_logic;            lcd_rw   : out std_logic); end counter;

    标签: lcd 计数显示 程序

    上传时间: 2013-10-30

    上传用户:wqxstar

  • 如何仿真IP核(建立modelsim仿真库完整解析)

      IP核生成文件:(Xilinx/Altera 同)   IP核生成器生成 ip 后有两个文件对我们比较有用,假设生成了一个 asyn_fifo 的核,则asyn_fifo.veo 给出了例化该核方式(或者在 Edit-》Language Template-》COREGEN 中找到verilog/VHDL 的例化方式)。asyn_fifo.v 是该核的行为模型,主要调用了 xilinx 行为模型库的模块,仿真时该文件也要加入工程。(在 ISE中点中该核,在对应的 processes 窗口中运行“ View Verilog Functional Model ”即可查看该 .v 文件)。如下图所示。

    标签: modelsim 仿真 IP核 仿真库

    上传时间: 2013-10-20

    上传用户:lingfei

  • 基于Xilinx FPGA的多分辨率频谱分析仪设计

      频谱分析仪的主要工作原理   接收到的中频模拟信号经过A/D转换为14位的数字信 号,首先对数字信号进行数字下变频(DDC),得到I路、Q路信号,然后根据控制信号对I路、Q路信号进行抽取滤波,使用CIC抽取滤波器完成,然后在分 别对I路、Q路信号分别进行低通滤波,滤波器采用FIR滤波器和半带滤波器相结合的方式,然后对信号进行加窗、FFT(对频谱进行分析时进行FFT运算, 对功率谱进行分析时不进行FFT运算)、I路和Q路平方求和、求平均。最后将输出的数据送入到DSP中进行显示与控制的后续处理。

    标签: Xilinx FPGA 多分辨率 频谱分析仪

    上传时间: 2013-11-14

    上传用户:leixinzhuo

  • Xilinx汽车Zynq-7000产品简介(英文手册)

     

    标签: Xilinx 7000 Zynq 汽车

    上传时间: 2013-10-22

    上传用户:rlgl123

  • 简化FPGA配置设计过程

    本文着重介绍了 Xilinx Platform Flash PROM 如何帮助系统和电路板设计人员简化 FPGA 配置设计。用于配置 FPGA 的可选解决方案有很多,但它们通常都需要大量的前期设计工作和时间。Platform Flash 是为配置 Xilinx FPGA 专门设计的一款包括硬件和软件支持在内的整体解决方案。  

    标签: FPGA 过程

    上传时间: 2014-01-09

    上传用户:时代电子小智

  • 便携式超声系统中的Xilinx器件

    There has long been a need for portable ultrasoundsystems that have good resolution at affordable costpoints. Portable systems enable healthcare providersto use ultrasound in remote locations such asdisaster zones, developing regions, and battlefields,where it was not previously practical to do so.

    标签: Xilinx 便携式 超声系统 器件

    上传时间: 2015-01-01

    上传用户:hfnishi

  • Xilinx spartan3e FPGA掉电配置及应用程序引导

    fpga

    标签: spartan3e Xilinx FPGA 掉电

    上传时间: 2014-01-15

    上传用户:小小小熊

  • ISE 4.1i 快速入门

    本教程主要是向 ISE 的初学者描述和演示, 在 XILINX 的 ISE 集成软件环境中 如何用 VHDL 和原理图的方式进行设计输入 如何用 ModelSim 仿真工具对设计进行功能仿真和时 序仿真 如何实现设计

    标签: ISE 4.1 快速入门

    上传时间: 2013-10-12

    上传用户:gxrui1991

  • 几篇关于ModelSim仿真的资料

    modelsimSE相关的仿真资料,适合初学者 也有些是关于altera和xilinx的

    标签: ModelSim 仿真

    上传时间: 2015-01-01

    上传用户:youth25