虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

XILINX-XUPV

  • 基于FPGA的USB通信系统的设计

    随着科学技术水平的不断提高,数字集成电路被广泛应用。通用串行总线USB(Universal Serial Bus)是计算机与外围设备互连的标准接口之一,是一种点对点的通信接口,可同时支持多个外围设备。USB2.0规范的通信速率非常高,其峰值可达480Mbit/s,使得它已经成为目前最流行的外设接口标准。FPGA芯片是今后电子产品发展的趋势,带有USB接口的FPGA系统将有很好的市场需求和发展前景。    论文主要从研究FPGA的结构、Xilinx公司Spartan3F系列中的XC3S400的引脚功能、了解FPGA开发流程、熟悉USB2.0的通信协议以及驱动的一些基本知识入手,目的是完成带有USB接口的FPGA的PCB板的制作和FPGA内部程序的编写以及USB固件的开发。结合了Cypress公司的上位机,开发了基于USB接口的FPGA和PC机通信系统,能够进行数据传输。论文研究了Xilinx的3S400芯片的内部结构和各个引脚的功能,设计了关于Xilinx的3S400最小系统电路图,在Xilinx的FPGA的开发环境,编写了FPGA的代码。由于FPGA内嵌的USB2.0的内核价格昂贵,需要向生产FPGA的芯片厂商购买,因此论文选择了外接USB芯片,虽然增加了PCB板的面积,但其开发成本较低,且技术成熟,大多数USB通信研究者进行广泛研究。论文在详细介绍了USB2.0的通信协议,Cypress公司生产的CY7C68013芯片的结构,以及其固件的开发基础上,开发了基于FPGA的USB与PC机的通信系统,该通信系统可以和上位机进行点对点的数据传输,为大批量的数据通信产品的开发提供了研究和生产的基础。

    标签: FPGA USB 通信系统

    上传时间: 2013-07-26

    上传用户:xz85592677

  • sp605 pcb

    xilinx spartan6 demo board sp605 pcb, allegro15.7

    标签: 605 pcb sp

    上传时间: 2013-06-18

    上传用户:xiaoyunyun

  • TS201 LINK口通信的关键设计与实现

    ·摘要:  针对DSP芯片TS201的LINK口互连在高速数据通信中存在数据错误、突发数据块传输不稳定等缺点,在分析其通信协议的基础上,并结合实际应用,提出了设计LINK口通信的关键要求,给出设计的要点,设计与实现了TS201的LINK 121互连以及FPGA(Xilinx公司的XC4VFX60)与TS201 LINK口互连,得到了实际测试结果;结果表明,所设计的LINK口互连具备的优点有

    标签: LINK nbsp 201 TS

    上传时间: 2013-06-08

    上传用户:417313137

  • 基于FPGA的航电数据处理及传输系统

    本文结合目前国内外航电数据处理系统的发展概况,设计了一款集数据采集、处理、控制及传输于一体的航电处理系统。文章首先深入研究了自适应滤波器原理,分析了LMS算法性能,着重从影响算法性能的因素入手,通过分析仿真,改进算法,提升了算法性能,给出仿真结果分析,并设计应用于系统之中;其次介绍了ARINC-429航空总线和RS-422串行总线的信息标准和传输格式。在此基础上,设计了基于FPGA的解决航电系统数据采集、滤波处理、控制传输和复杂非线性运算的一体化实现方案。选用XILINX公司的FPGA,实现了航电数据采集、传输和控制,集成了ARlNC-429和RS-422两种通信接口,实现了总线冗余,并实现了数据滤波和相应的算法处理。最后,在实验室环境下,对每个模块分别进行了软硬件测试。

    标签: FPGA 数据处理 传输系统

    上传时间: 2013-07-01

    上传用户:R50974

  • 基于FPGA的永磁电机控制系统

    随着经济的发展,科学技术的进步,永磁电机的研发和控制技术都有了快速的发展。永磁电机的发展也带来了永磁电机控制器的发展,电机控制器已经由传统的模拟元件控制器,逐渐转向数模混合控制器、全数字控制器。基于现场可编程门阵列(FPGA——Field Programmable Gate Array)的新一代数字电机控制技术得到越来越多的关注。现在的FPGA不仅实现了软件需求和硬件设计的完美集合,还实现了高速与灵活性的完美结合,使其已超越了ASIC器件的性能和规模。在工业控制领域,FPGA虽然起步较晚,但是发展势头迅猛。    本文在介绍了传统无刷直流电机控制技术的基础上,分析了采用FPGA实现电机控制的优点。详细介绍了使用硬件编程语言,在FPGA中编程实现永磁无刷直流电机速度闭环控制的各个关键环节,如:PI调节器、数字PWM等等。在实现永磁无刷直流电机速度闭环控制的同时,将速度检测环节采用FPGA实现,减小了系统硬件开销。在实现单台永磁无刷直流电机速度闭环控制的基础上,本文在一片FPGA芯片上实现了多台永磁无刷直流电机的速度闭环独立控制系统。介绍了采用FPGA进行多台电机控制具有独特的优势,这些优势使得FPGA在实现多台电机控制时非常方便,具有单片机(MCU)和数字信号处理器(DSP)无法比拟的优点。文中对基于FPGA的单台和多台永磁无刷直流电机控制系统分别进行了实验验证。    FPGA编程灵活,设计方便,本文在FPGA中实现了各种不同的PWM调制方式。从电路方面详细分析了采用不同的PWM调制,换相时无刷直流电机母线的反向电流问题。借助FPGA平台,对各种PWM调制方式进行了实验,对理论分析进行了验证。    另外,本文介绍了目前非常流行的一种FPGA图形化设计方法,即基于XSG(Xilinx System Generator)的FPGA设计。这种设计方法具有图形化、模块化的优点,大大方便了用户的FPGA开发设计。在XSG中建立的仿真系统,区别于传统的Simulink仿真,可以直接生成相应的硬件编程语言代码下载到FPGA中运行。本文借助XSG软件设计在XSG/Simulink中实现了永磁同步电机矢量控制系统的混合建模算法,并进行了仿真。

    标签: FPGA 永磁电机 控制系统

    上传时间: 2013-04-24

    上传用户:wangyi39

  • 基于FPGA模型化设计的雷达信号

    随着现场可编程门阵列(FPGA)在工业中的广泛应用,使得基于FPGA数字信号处理的实现在雷达信号处理中有着重要地位。模型化设计是一种自顶向下的面向FPGA的快速原型验证法,它不仅降低了FPGA设计门槛,而且缩短了开发周期,提高了设计效率。这使得FPGA模型化设计成为了FPGA系统设计的发展趋势。本文针对常见雷达信号处理模块的FPGA模型化实现,在以下几个方面展开研究:首先对基于FPGA的模型化设计方法进行了研究,给出了模型化设计方法的发展现状和趋势,并对本文中使用的模型化设计方法的软件工具System Generator和AccelDSP进行了介绍。其次使用这两种软件工具对FIR滤波器进行了模型化设计并同RTL(寄存器传输级)设计方法进行对比,全面分析了模型化设计方法和RTL设计方法的优缺点。然后在简明阐述雷达信号处理原理的基础上,使用System Generator对数字下变频(DDC)、脉冲压缩、动目标显示(MTI)及恒虚警(CFAR)处理等雷达信号处理模块进行了自顶向下的模型化设计。在Simulink中进行了功能仿真验证,生成了HDL代码,并在Xilinx FPGA中进行了RTL的时序仿真分析。关键词:雷达信号处理 FPGA 模型化设计 System Generator AccelDSP

    标签: FPGA 模型 雷达信号

    上传时间: 2013-07-25

    上传用户:zhangsan123

  • CPLD/FPGA的开发与应用

    ·CPLD/FPGA是目前诮用最为广泛的两种可编程专用集成电路(ASIC),特别适合于产品的样品开发与小批量生产。 本书从现代电子系统设计的角度出发,以全球著名的可编程逻辑器件供应商Xilinx公司的产品为背景,系统全面地介绍该公司的CPLD/FPGA产品的结构原理、性能特点、设计方法以及相应的EDA工具软件,重点介绍CPLD/FPGA在数字系统设计、数字通信与数字信号处理等领域中的应用。

    标签: CPLD FPGA

    上传时间: 2013-04-24

    上传用户:hank

  • 用Xilinx_FPGA实现DDR_SDRAM控制器

    ·摘要:  DDB SDRAM使用双倍数据速率结构,它能获得比SDRAM更高的性能.DDR SDRAM需要特定的DDB控制器才能完成与DSP、FPGA之间的通信.由于Xilinx VirtexTM-4系列FPGA具备ChipSync源同步技术等优势,本设计采用它来实现DDRSDRAM控制器.该DDR SDRAM控制器采用直接时钟数据捕获技术,本文将重点阐述该技术. 

    标签: Xilinx_FPGA DDR_SDRAM 控制器

    上传时间: 2013-05-24

    上传用户:zxc23456789

  • modelsim教程(中文)

    PPT文档,24页,图文结合 Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比Quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 ModelSim分几种不同的版本:SE、PE和OEM,其中 集成在 Actel、Atmel、Altera、Xilinx以及Lattice等FPGA厂商设计工具中的均是其OEM版本。比如为Altera提供的OEM版本是ModelSim-Altera,为Xilinx提供的版本为ModelSim XE. SE版本为最高级版本,在功能和性能方面比OEM版本强很多,比如仿真速度方面,还支持PC 、 UNIX 、 LIUNX混合平台.

    标签: modelsim 教程

    上传时间: 2013-05-25

    上传用户:zhangzhenyu

  • VivadoIP集成器.doc

    大家好,欢迎Vivado的一个快速演示,它是xilinx新的设计套件,应用到7系列和以上的系列器件。

    标签: VivadoIP 集成

    上传时间: 2013-08-03

    上传用户:xiaoxiang