虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

XILINX-XUPV

  • 基于FPGA的GPS定位信息处理系统设计

    随着GPS(Global Positioning System)技术的不断发展和成熟,其全球性、全天候、低成本等特点使得GPS接收机的用户数量大幅度增加,应用领域越来越广。但由于定位过程中各种误差源的存在,单机定位精度受到影响。目前常从两个方面考虑减小误差提高精度:①用高精度相位天线、差分技术等通过提高硬件成本获取高精度;②针对误差源用滤波算法从软件方面实现精度提高。两种方法中,后者相对于前者在满足精度要求的前提下节约成本,而且便于系统融合,是应用于GPS定位的系统中更有前景的方法。但由于在系统中实现定位滤波算法需要时间,传统CPU往往不能满足实时性的要求,而FPGA以其快速并行计算越来越受到青睐。    本文在FPGA平台上,根据“先时序后电路”的设计思想,由同步没计方法以及自顶向下和自下而上的混合设计方法实现系统的总体设计。从GPS-OEM板输出的定位信息的接收到定位结果的坐标变换,最终到kalman滤波递推计算减小定位误差,实现实时、快速、高精度的GPS定位信息采集处理系统,为GPS定位数据的处理方法做了新的尝试,为基于FPGA的GPS嵌入式系统的开发奠定了基础。具体工作如下:    基于FPGA设计了GPS定位数据的正确接收和显示,以及经纬度到平面坐标的投影变换。根掘GPS输出信息标准和格式,通过串口接收模块实现串口数掘的接收和经纬度信息提取,并通过LCD实时显示。在提取信息的同时将数据格式由ASCⅡ码转变为十进制整数型,实现利用移位和加法运算达到代替乘法运算的效果,从而减少资源的利用率。在坐标转换过程中,利用查找表的方法查找转化时需要的各个参数值,并将该参数先转为双精度浮点小数,再进行坐标转换。根据高斯转化公式的规律将公式简化成只涉及加法和乘法运算,以此简化公式运算量,达到节省资源的目的。    卡尔曼滤波器的实现。首先分析了影响定位精度的各种误差因素,将各种误差因素视为一阶马尔科夫过程的总误差,建立了系统状态方程、观测方程和滤波方程,并基于分散滤波的思想进行卡尔曼滤波设计,并通过Matlab进行仿真。结果表明,本文设计的卡尔曼滤波器收敛性好,定位精度高、估计误差小。在仿真基础上,实现基于FPGA的卡尔曼滤波计算。在满足实时性的基础上,通过IP核、模块的分时复用和树状结构节省资源,实现数据卡尔曼滤波,达到提高数据精度的效果。    设计中以Xilinx公司的Virtex-5系列的XC5VLX110-FF676为硬件平台,采用Verilog HDL硬件描述语言实现,利用Xilinx公司的ISE10.1工具布局布线,一共使用44438个逻辑资源,时钟频率达到100MHZ以上,满足实时性信号处理要求,在保证精度的前提下达到资源最优。Modelsim仿真验证了该设计的正确性。

    标签: FPGA GPS 定位 信息处理

    上传时间: 2013-04-24

    上传用户:二驱蚊器

  • 基于FPGA的遗传算法的硬件实现

    遗传算法是一种基于自然选择原理的优化算法,在很多领域有着广泛的应用。但是,遗传算法使用计算机软件实现时,会随着问题复杂度和求解精度要求的提高,产生很大的计算延时,这种计算的延时限制了遗传算法在很多实时性要求较高场合的应用。为了提升运行速度,可以使用FPGA作为硬件平台,设计数字系统完成遗传算法。和软件实现相比,硬件实现尽管在实时性和并行性方面具有很大优势,但同时会导致系统的灵活性不足、通用性不强。本文针对上述矛盾,使用基于功能的模块化思想,将基于FPGA的遗传算法硬件平台划分成两类模块:系统功能模块和算子功能模块。针对不同问题,可以在保持系统功能模块不变的前提下,选择不同的遗传算子功能模块完成所需要的优化运算。本文基于Xilinx公司的Virtex5系列FPGA平台,使用VerilogHDL语言实现了伪随机数发生模块、随机数接口模块、存储器接口/控制模块和系统控制模块等系统功能模块,以及基本位交叉算子模块、PMX交叉算子模块、基本位变异算子模块、交换变异算子模块和逆转变异算子模块等遗传算法功能模块,构建了系统功能构架和遗传算子库。该设计方法不仅使遗传算法平台在解决问题时具有更高的灵活性和通用性,而且维持了系统架构的稳定。本文设计了多峰值、不连续、不可导函数的极值问题和16座城市的旅行商问题 (TSP)对遗传算法硬件平台进行了测试。根据测试结果,该硬件平台表现良好,所求取的最优解误差均在1%以内。相对于软件实现,该系统在求解一些复杂问题时,速度可以提高2个数量级。最后,本文使用FPGA实现了粗粒度并行遗传算法模型,并用于 TSP问题的求解。将硬件平台的运行速度在上述基础上提高了近1倍,取得了显著的效果。关键词:遗传算法,硬件实现,并行设计,FPGA,TSP

    标签: FPGA 算法 硬件实现

    上传时间: 2013-06-15

    上传用户:hakim

  • ispLEVER Classic0

    在为所有 Xilinx® Virtex®-6 和 Spartan®-6 FPGA 产品系列提供全面生产支持的同时,ISE 12 版本作为业界唯一一款领域专用设计套件,不断发展和演进,可以为逻辑、数字信号处理(DSP)、嵌入式处理以及系统级设计提供互操作性设计流程和工具配置。此外,赛灵思还在 ISE 12 套件中采用了大量软件基础架构,并改进了设计方法,从而不仅可缩短运行时间,提高系统集成度,而且还能在最新一代器件产品系列和目标设计平台上扩展 IP 互操作性

    标签: ispLEVER Classic0

    上传时间: 2013-07-26

    上传用户:青春给了作业95

  • ispLEVER2

    ispLEVER2.0是一套完整的EDA软件。设计输入可采用原理图、硬件描述语言、混合输入三种方式。能对所设计的数字电子系统进行功能仿真和时序仿真。编译器是此软件的核心,能进行逻辑优化,将逻辑映射到器件中去,自动完成布局与布线并生成编程所需要的熔丝图件。软件支持原有Lattice公司的GAL、ispLSI、MACH、ispGDX、ORCA2、ORCA3、ORCA4和最新的ispMACH器件。Xilinx.ISE.Design.Suite(北京市电子设计竞赛指定软件)

    标签: ispLEVER2

    上传时间: 2013-04-24

    上传用户:weddps

  • ModleSim 10.0a0

    modelsim么就是个比较强大的功能仿真软件。然后作为互助软件。modelsim和altera、xilinx等提供了专用的优化版本

    标签: ModleSim 10.0

    上传时间: 2013-06-01

    上传用户:cc111

  • 有线数字电视广播系统信道编码

    随着数字电视全国范围丌播时间表的临近,数字电视技术得到很大发展,数字电视信号在信源基带数据和信道传输等方面已经进一步标准化,数字电视传播途径也越来越广,在卫星、地面及有线电视网中传输数字电视信号得到迅速发展。借着2008年奥运的东风,数字电视领域的应用研究方兴未艾。 本课题目的是完成有线数字电视广播系统的重要设备--调制器的设计和实现,核心器件选用FPGA芯片。系统硬件实现以国家标准GY/T 170-2001(有线数字电视广播信道编码与调制规范)为主要依据,以Xilinx公司的Virtex系列(Virtex 4,Virtex 5)芯片及相关开发板(ML402、ML506)为平台,主要任务是基于相关标准对其实用技术进行研究和开发。完成了信道编码和调制的模块划分、Verilog HLD程序的编写(或IP核的调用)和仿真以及在板调试和联调等工作,设计目的是在提高整个系统集成度的前提下实现多频点调制。 本文在研究现有数字电视网络技术和相关产品的基础上,以国标GY/T170-2001为主要依据并参阅了其他的相关标准,提出了多频点QAM调制器的实现方案。整个工作包括:模块划分,完成了基带物理接口(输入)、包头反转与随机化、RS编码、卷积交织、码流变换、差分编码、星座映射、基带成型(包括Nyquist滤波器、半带滤波器、CIC滤波器的设计或模块调用)、高端DAC的配置(输出)等模块的Verilog HLD程序的编写(或者IP核调用)和仿真等工作;成功进行了开发板板级调试,调试的过程中充分利用Xilinx公司的开发板和调试软件ChipScope,成功设计了验证方案并进行了模块验证;最后进行了各模块联调工作,设计了系统验证方案并成功完成对整个系统的验证工作。 经测试表明,该系统主要性能达到国家相关标准GY/T 198-2003(有线数字电视广播QAM调制器技术要求和测量方法)规定的技术指标,可以进入样机试生产环节。

    标签: 有线数字电视 广播系统 信道编码

    上传时间: 2013-04-24

    上传用户:jiangfire

  • FPGA布局算法研究和软件实现

    FPGA布局算法和软件位于工艺映射和布线之间,是一个承上启下的阶段,对最终的布通率和时序都有着重要的影响。 本论文的工作之一便是研究旨在提高布通率的布局算法。在研究了国内外装箱和布局算法的基础上,本文提出了一种新的结合了装箱的布局算法框架,并称之为"低温交替改善的"布局算法。其基本思想是,在模拟退火的低温阶段交替的优化装箱和布局。本文给了基于学术界标准布局布线软件VPR的一个软件实现,并且提出了低温的判定条件以及一种新的选择待交换逻辑单元的方法。采用三种不同的装箱算法作为布局输入,基于VPR的低温交替改善的布局算法实现,在布通率上,比VPR分别提高了21.3%、15.5%、10.7%。而带来的平均额外时间开销不到20%。 FPGA布局软件实现对整个FPGA CAD流程的运行效率,算法的可扩展性也有着不可忽视的影响。现代FPGA有着多样而复杂的逻辑和布线资源。而学术界的布局软件'VPR所面向的FPGA却只能处理十分简单的FPGA结构,对于宏、总线、多时钟等实际应用中很重要的部分都没有考虑。本文提出了"逻辑单元层"的概念,用具有特定几何结构的逻辑单元层来统一处理多种类型的逻辑资源。针对相对位置约束在现代FPGA布局软件中的重要地位,我们提出了一种处理相对位置约束的方法。这些讨论均已经在面向Xilinx SpartanⅡ芯片布局的原型系统中得到了实现,初步证实了这些方法的可扩展性和实用性。

    标签: FPGA 布局 算法研究 软件实现

    上传时间: 2013-06-21

    上传用户:ezgame

  • 机电系统智能控制器设计及应用

    基于Xilinx FPGA的机电系统智能控制器设计及应用

    标签: 机电系统 智能控制器

    上传时间: 2013-07-26

    上传用户:change0329

  • 基于CCSDS算法的星载图像压缩系统

    CCSDS组织(空间数据系统咨询委员会)于2005年公布了新的图像压缩标准,该标准算法采用基于小波变换的比特平面编码方法,支持无损有损压缩编码和精确码率控制并具有较好的抗误码能力和非常高的图像压缩性能,能满足实际应用中的多种需求。同时该算法具有较低的算法复杂度,易于低功耗硬件实现,并且对航天图像具有较高的适应性,因此,在航天应用方面具有广阔的前景。    本论文主要针对CCSDS图像压缩算法的FPGA硬件实现,在有限的硬件资源下,提出高速高效的CCSDS图像压缩编码器设计方案并在已有的FPGA硬件平台上加以实现。本文首先对CCSDS图像压缩算法的编码原理进行详细介绍;然后提出DWT、BPE和码流组织这三大模块的并行化硬件实现方案,并给出了进行批量仿真测试的仿真平台设计方案。最后在Xilinx VIRTEX-II FPGA平台上经过成功验证,测试结果表明系统各项技术指标可满足星载图像压缩的要求。

    标签: CCSDS 算法 星载 图像压缩系统

    上传时间: 2013-06-13

    上传用户:wanghui2438

  • 基于FPGA的OFDM基带系统研究

    近几年来,OFDM技术引起了人们的广泛注意,根据这项新技术,很多相关协议被提出来。其中WiMax代表空中接口满足IEEE802.16标准的宽带无线通信系统,IEEE标准在2004年定义了空中接口的物理层(PHY),即802.16d协议。该协议规定数据传输采用突发模式,调制方式采用OFDM技术,传输速率较高且实现方便、成本低廉,已经成为首先推广应用的商业化标准。本文对IEEE802.16d OFDM系统物理层进行了研究,并在XILINX公司的Virtexpro II芯片上实现了基带算法。    ⑴探讨了OFDM基本原理及其关键技术。根据IEEE802.16d OFDM系统的物理层发送端流程搭建了基带仿真链路,利用MATLAB/SIMULINK仿真了OFDM系统在有无循环前缀(CP)、多径数目不同等情况下的性能变化。由于同步算法和信道估计算法计算量都很大,为了找到适合采用FPGA实现的算法,分析了同步误差和不同信道估计算法对接收信号的影响,并结合计算量的大小提出了一种新的联合同步算法,以及得出了LS信道估计算法最适合802.16d系统的结论。    ⑵完成了基带发射机和接收机的FPGA硬件电路实现。为了使系统的时钟频率更高,采用了流水线的结构。设计中采用编写Verilog程序和使用IP核相结合的办法,实现了新的联合同步算法,并且通过简化结构,避免了信道估计算法中的繁琐除法。利用ISE9.2i和Modelsim6.Oc软件平台对程序进行设计、综合和仿真,并将仿真结果和MATLAB软件计算结果相对比。结果表明,采用16位数据总线可达到理想的精度。    ⑶采用串口通信的方式对基带系统进行了验证。通过串口通信从功能上表明该系统确实可行。

    标签: FPGA OFDM 基带 系统研究

    上传时间: 2013-04-24

    上传用户:zhangyigenius