虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

EDA-Verilog

  • Verilog黄金指南中文版.rar

    很棒的Verilog硬件描述语言学习资料。 推荐下载!!!

    标签: Verilog

    上传时间: 2013-06-23

    上传用户:1101055045

  • verilog数字系统设计-夏宇闻教材.rar

    verilog数字系统设计-夏宇闻教材.rar

    标签: verilog 数字系统设计 教材

    上传时间: 2013-08-04

    上传用户:yanqie

  • 基于FPGA的嵌入式TCPIP协议栈的实现.rar

    本文论述了嵌入式TCP/IP协议栈的实现,介绍了TCP/IP协议栈的原理,以及硬线实现TCP/IP协议栈的意义和应用。 第一章为绪论,介绍论文研究的目的、内容、意义和国内外研究发展的现状。 第二章介绍FPGA设计的流程和Verilog HDL设计语言。着重介绍了FPGA的代码输入、编译、综合、仿真和下载等等步骤,并且介绍了FPGA设计中使用到的EDA软件。介绍了Verilog HDL语言的起源,以及Verilog HDL语言的优缺点,并与VHDL语言进行了简单的比较。 第三章介绍嵌入式系统要实现的经过剪裁的TCP/IP协议栈的内容。着重介绍了要实现的TCP/IP协议栈的子协议,包括TCP协议、UDP协议、IP协议、ARP协议、ICMP协议。在介绍这些协议的时候,介绍了这些协议的工作原理,以及这些协议要用到的报文的格式。 第四章介绍实现剪裁的TCP/IP协议栈的实现,具体介绍的经过剪裁的TCP/IP各个模块的设计工作。这个部分着重介绍各个模块的设计方法,实现各个模块的过程。在设计完这些模块后,对这些模块的仿真进行了仿真。 第五章是全文的总结,概括了作者在这次毕业设计中的主要工作和课题的意义,同时指出了进一步工作的方向和需要解决的问题。

    标签: TCPIP FPGA 嵌入式

    上传时间: 2013-07-04

    上传用户:leesuper

  • 基于FPGA的高速FIR数字滤波器设计.rar

    本论文设计了一种基于FPGA的高速FIR数字滤波器,滤波器实现低通滤波,截止频率为1MHz,通带波纹小于1 dB,阻带最大衰减为-40 dB,输入输出数据为8位二进制,采样频率为10MHz。 论文首先简要介绍了数字滤波器的基本原理和线性FIR数字滤波器的性质、结构,根据滤波器的性能要求选择窗函数、确定系数,在算法上为了满足数字滤波器的要求,对系数放大512倍并取整,并用Matlab对数字滤波器原理进行了证明。同时简述了EDA技术和FPGA设计流程。 其次,论文说明了FIR数字滤波器模块的划分,并用Verilog语言在Modelsim环境下进行了功能测试。对于数字滤波器系数中的-1,-2,4这些简单的系数乘法直接进行移位和取反,可以极大的节省资源和优化设计。而对普通系数乘法采用4-BANT(4bits-at-a-time)的并行算法,用加法累加快速实现了乘积的运算;另外,在本设计进行部分积累加时,采用舍取冗余位,主要是根据设计时已对系数进行了放大,而输出时又要将结果相应的缩小,所以在累加时,提前对部分积缩小,从而减少了运算量,从时间和资源上都得到了优化。 论文的最后分别用Modelsim和Quartus II进行了FIR数字滤波器的前仿真和后仿真,将仿真的结果和Matlab中原理验证时得到的理想值进行了比较,并对所产生的误差进行了分析。仿真结果表明:本16阶FIR数字滤波器设计能够实现截止频率为1MHz的低通滤波,并且工作频率可达150MHz以上。

    标签: FPGA FIR 数字

    上传时间: 2013-05-24

    上传用户:qiaoyue

  • H264AVC的CAVLC编码算法研究及FPGA实现.rar

    H.264/AVC是国际电信联盟与国际标准化组织/国际电工委员会联合推出的活动图像编码标准,简称H.264。作为最新的国际视频编码标准,H.264/AVC与MPEG-4、H.263等视频编码标准相比,性能有了很大的提高,并已在流媒体、数字电视、电话会议、视频存储等诸多领域得到广泛的应用。 本论文的研究课题是基于H.264/AVC视频编码标准的CAVLC(Context-based Adaptive Variable Length Coding,基于上下文的自适应可变长编码)编码算法研究及FPGA实现。对于变换后的熵编码,H.264/AVC支持两种编码模式:基于上下文的可变长编码(CAVLC)和基于上下文的自适应算术编码(CABAC,Context-based Adaptive BinaryArithmetic Coding)。在H.264/AVC中,尽管CAVLC算法也是采用了VLC编码,但是同以往标准不同,它所有的编码都是基于上下文进行。这种方法比传统的查单一表的方法提高了编码效率,但也增加了设计上的困难。 作者在全面学习H.264/AVC协议和深入研究CAVLC编码算法的基础上,确定了并行编码的CAVLC编码器结构框图,并总结出了影响CAVLC编码器实现的瓶颈。针对这些瓶颈,对CAVLC编码器中的各个功能模块进行了优化设计,这些优化设计包括多参考块的表格预测法、快速查找表法、算术消除法等。最后,用Verilog硬件描述语言对所设计的CAVLC编码器进行了描述,用EDA软件对其主要功能模块进行了仿真,并在Cyclone II系列EP2C20F484的FPGA上验证了它们的功能。结果表明,该CAVLC编码器各编码单元的编码速度得到了显著提高且均能满足实时通信要求,为整个CAVLC编码器的实时通信提供了良好的基础。

    标签: CAVLC H264 FPGA 264

    上传时间: 2013-06-22

    上传用户:diamondsGQ

  • 华为verilog教程.rar

    这是华为内部的Verilog培训资料,与大家共享啊!!!

    标签: verilog 华为 教程

    上传时间: 2013-04-24

    上传用户:xauthu

  • 流水线CPU的Verilog代码.rar

    一种流水线CPU的verilog源代码,里面有各个模块的源代码,希望对大家有帮助

    标签: Verilog CPU 流水线

    上传时间: 2013-07-14

    上传用户:xymbian

  • 夏宇闻-Verilog经典教程.rar

    夏宇闻-Verilog经典教程,介绍简单而实用,设计人员使用方便。

    标签: Verilog 教程

    上传时间: 2013-07-13

    上传用户:tedo811

  • verilog代码集锦.rar

    verilog代码集锦,有需要的看看,对初学者很有价值的

    标签: verilog 代码 集锦

    上传时间: 2013-04-24

    上传用户:afeiafei309

  • 曼彻斯特编解码Verilog代码.zip

    这是曼彻斯特编码的Verilog部分的源代码程序,希望能够对大家有所帮助哦。

    标签: Verilog zip 曼彻斯特 代码

    上传时间: 2013-06-01

    上传用户:leixinzhuo