感谢大家对华强PCB送电子怀表活动的支持。为方便大家DIY怀表,熟悉电路及源程序现在为大家提供-怀表原理图,PCB图及源程序,欢迎大家下载
上传时间: 2013-11-15
上传用户:13736136189
你是否曾经这样定义过变量:int a[50]; ?或许你会说,这是一句再普通不过的代码了。那么int a[1000000]; 呢?int a[512][512]; 呢?用了这么久的VC,直到今天才发现,自己连最基本的东西还没弄清楚。
上传时间: 2014-04-06
上传用户:15736969615
如果传给任务的变量值和任务完成后接收结果的变量已定义, 就可以用一条语句启动任务。任务完成以后控制就传回启动过程。如任务内部有定时控制, 则启动的时回可以与控制返回的时间不同
上传时间: 2013-11-01
上传用户:叶山豪
学习java 的时候,看了好多java 的历史、优点和应用范围。对于这些知识,并不难理解。我也当然同意java 是一种优秀的计算机语言。但是对于我们来说要了解的并不是,这些历史等知识。而是掌握java 这套技术。要想掌握这套技术实践是非常重要的。那么很多初学者,在第一步实践的时候就遇到了困难,就是配置环境变量。以至于,因无法继续实践而苦恼。下面为了帮广大爱好者解决这个问题,“百家拳软件项目研究室”特别写了这个教程来与大家共享。
上传时间: 2013-10-11
上传用户:dengzb84
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is Port ( clk : in std_logic; resetn : in std_logic; dout : out std_logic_vector(7 downto 0); lcd_en : out std_logic; lcd_rs : out std_logic; lcd_rw : out std_logic); end counter;
上传时间: 2013-10-30
上传用户:wqxstar
目录 关于本课程小测验测验讲解C语言复习
上传时间: 2013-10-27
上传用户:chenlong
飞思卡尔智能车的舵机测试程序 #include <hidef.h> /* common defines and macros */#include <MC9S12XS128.h> /* derivative information */#pragma LINK_INFO DERIVATIVE "mc9s12xs128" void SetBusCLK_16M(void) { CLKSEL=0X00; PLLCTL_PLLON=1; //锁相环电路允许位 SYNR=0x00 | 0x01; //SYNR=1 REFDV=0x80 | 0x01; POSTDIV=0x00; _asm(nop); _asm(nop); while(!(CRGFLG_LOCK==1)); CLKSEL_PLLSEL =1; } void PWM_01(void) { //舵机初始化 PWMCTL_CON01=1; //0和1联合成16位PWM; PWMCAE_CAE1=0; //选择输出模式为左对齐输出模式 PWMCNT01 = 0; //计数器清零; PWMPOL_PPOL1=1; //先输出高电平,计数到DTY时,反转电平 PWMPRCLK = 0X40; //clockA 不分频,clockA=busclock=16MHz;CLK B 16分频:1Mhz PWMSCLA = 0x08; //对clock SA 16分频,pwm clock=clockA/16=1MHz; PWMCLK_PCLK1 = 1; //选择clock SA做时钟源 PWMPER01 = 20000; //周期20ms; 50Hz; PWMDTY01 = 1500; //高电平时间为1.5ms; PWME_PWME1 = 1;
上传时间: 2013-11-04
上传用户:狗日的日子
当许多编程人员从事这项工作但又不使用源代码管理工具时,源代码管理几乎不可能进行。Visual SourceSafe是Visual Basic的企业版配备的一个工具,不过这个工具目的是为了保留一个内部应用版本,不向公众发布(应当说明的是,M i c r o s o f t并没有开发Visual SourceSafe,它是M i c r o s o f t公司买来的) 。虽然Visual SourceSafe有帮助文本可供参考,但该程序的一般运行情况和在生产环境中安装 Visual SourceSafe的进程都没有详细的文字说明。另外,Visual SourceSafe像大多数M i c r o s o f t应用程序那样经过了很好的修饰,它包含的许多功能特征和物理特征都不符合 Microsoft Wi n d o w s应用程序的标准。例如,Visual SourceSafe的三个组件之一(Visual SourceSafe Administrator)甚至连F i l e菜单都没有。另外,许多程序的菜单项不是放在最合适的菜单上。在程序开发环境中实现Visual SourceSafe时存在的复杂性,加上它的非标准化外观和文档资料的不充分,使得许多人无法实现和使用 Visual SourceSafe。许多人甚至没有试用 Vi s u a l S o u r c e S a f e的勇气。我知道许多高水平技术人员无法启动Visual SourceSafe并使之运行,其中有一位是管理控制系统项目师。尽管如此,Visual SourceSafe仍然不失为一个很好的工具,如果你花点时间将它安装在你的小组工作环境中,你一定会为此而感到非常高兴。在本章中我并不是为你提供一些指导原则来帮助你创建更好的代码,我的目的是告诉你如何使用工具来大幅度减少管理大型项目和开发小组所需的资源量,这个工具能够很容易处理在没有某种集成式解决方案情况下几乎无法处理的各种问题。
上传时间: 2013-10-24
上传用户:lgd57115700
运算符用于向编译程序说明对数据操作的性质,即操作码。C 语言提供的运算符非常丰富,它们与运算量相结合可形成多种多样、使用灵活的表达式。因而为数据处理带来了极大的方便和灵活性。
上传时间: 2013-11-03
上传用户:bjgaofei
目录 C语言基础知识 C 语言简介 C 语言的特点… C 语言的发展和标准化…数据类型、运算、表达式和编译预处理 数据类型… 基本类型与数据表示 整数类型和整数的表示… 实数类型和实数的表示… 字符类型和字符的表示… 运算符、表达式与计算… 算术运算符 算术表达式 表达式的求值… 变量——概念、定义和使用… 变量的定义0 变量的使用:取值与赋值 预处理 文件包含命令… 宏定义与宏替换…逻辑判断与运算… 关系运算和逻辑运算 复杂条件的描述0 i f语句循环控制 whi le语句 for语句… 循环程序常用的若干机制 增量和减量运算符(++、--) 逗号运算符 控制结构和控制语句 do-while循环结构… 流程控制语句… goto语句… 开关语句…函数 概述… 函数定义和程序的函数分解… 函数定义… 函数调用…数组 数组的概念、定义和使用 数组变量定义… 数组的使用 数组的初始化…结构 结构(struct) 结构说明与变量定义 结构变量的初始化和使用 结构与函数 处理结构的函数0指针 指针的概念 指针操作… 指针作为函数的参数 与指针有关的一些问题… 指针与数组 指向数组元素的指针 基于指针运算的数组程序设计 数组参数与指针 字符指针与字符数组0
上传时间: 2013-11-16
上传用户:asdkin