虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

2W数字对讲机模块

  • 射频功放数字预失真技术研究及其FPGA实现.rar

    随着无线通信技术的不断发展和社会需求的日益增长,对通信系统的传输质量和容量的要求也越来越大。现代通信系统为了追求更高的数据速率和频谱效率,更趋向于采用非恒定包络的调制方式,而非恒定包络调制方式对功率放大器的非线性非常敏感,加上现代通信系统对功率放大器的效率提出了更高的要求,以及功率放大器本身有限的线性度,这就使功率放大器线性化技术成为无线通信系统的关键技术之一。 本文对功率放大器的线性化技术进行了系统的研究。首先,介绍功率放大器的非线性特性、记忆效应产生原理和常见的各种线性化技术,重点研究了目前流行的自适应数字预失真技术原理。其次,介绍了功率放大器的无记忆模型和有记忆模型,以及两种实用的预失真实现方法--查表法和多项式法,在此基础上重点研究了基于QRD_RLS自适应算法的记忆多项式法预失真技术,对该算法进行了Matlab仿真分析,为后面的FPGA实现奠定基础。最后,确定了数字预失真实现的架构,介绍了与QRD_RLS算法实现相关的CORDIC技术、复数Givens旋转及Systolic阵等原理,详细阐述了基于CORDIC技术的复数QRD_RLS算法的Systolic实现,从而在FPGA上实现了数字预失真。 在软件无线电思想的指导下,本文利用System Generator软件完成了基于QRD_RLS算法的记忆多项式法的数字预失真的FPGA设计,并且在硬件平台上检验了预失真效果。

    标签: FPGA 射频功放 数字预失真

    上传时间: 2013-04-24

    上传用户:84425894

  • 基于FPGA函数信号发生器的设计与实现.rar

    任意波形发生器已成为现代测试领域应用最为广泛的通用仪器之一,代表了信号源的发展方向。直接数字频率合成(DDS)是二十世纪七十年代初提出的一种全数字的频率合成技术,其查表合成波形的方法可以满足产生任意波形的要求。由于现场可编程门阵列(FPGA)具有高集成度、高速度、可实现大容量存储器功能的特性,能有效地实现DDS技术,极大的提高函数发生器的性能,降低生产成本。 本文首先介绍了函数波形发生器的研究背景和DDS的理论。然后详尽地叙述了用FPGA完成DDS模块的设计过程,接着分析了整个设计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。最后就这三个部分分别详细地进行了阐述。 在实现过程中,本设计选用了Altera公司的EP2C35F672C6芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用了三星公司的上S3C2440作为控制芯片。本设计中,FPGA芯片的设计和与控制芯片的接口设计是一个难点,本文利用Altera的设计工具QuartusⅡ并结合Verilog—HDL语言,采用硬件编程的方法很好地解决了这一问题。论文最后给出了系统的测量结果,并对误差进行了一定分析,结果表明,可输出步进为0.01Hz,频率范围0.01Hz~20MHz的正弦波、三角波、锯齿波、方波,或0.01Hz~20KHz的任意波。通过实验结果表明,本设计达到了预定的要求,并证明了采用软硬件结合,利用FPGA技术实现任意波形发生器的方法是可行的。

    标签: FPGA 函数信号发生器

    上传时间: 2013-08-03

    上传用户:1079836864

  • 基于FPGA的高频PWM开关电源控制器设计.rar

    电力电子装置的控制技术随着电力电子技术的发展而愈来愈复杂。开关电源是现代电力电子设备中不可或缺的组成部分,其质量的优劣以及体积的大小直接影响电子设备整体性能。高频化、小型化、数字化是开关电源的发展方向。 在应用数字技术进行控制系统设计时,数字控制器的性能决定了控制系统的整体性能。数字化电力电子设备中的控制部分多以MCU/DSP为核心,以软件实现离散域的运算及控制。在很多高频应用的场合,目前常用的控制器(高性能单片机或DSP)的速度往往不能完全满足要求。FPGA具有设计灵活、集成度高、速度快、设计周期短等优点,与单片机和DSP相比,FPGA具有更高的处理速度。同时FPGA应用在数字化电力电子设备中,还可以大大简化控制系统结构,并可实现多种高速算法,具有较高的性价比。 依据FPGA的这些突出优点,本文将FPGA应用于直流开关电源控制器设计中,以实现开关电源数字化和高频化的要求。主要研究工作如下: 介绍了基于FPGA的DC/DC数字控制器中A/D采样控制、数字PI算法的实现;重点描述了采用混合PWM方法实现高分辨率、高精度数字PWM的设计方案,并对各模块进行了仿真测试;用FPGA开发板进行了一部分系统的仿真和实际结果的检测,验证了文中的分析结论,证实了可编程逻辑器件在直流开关电源控制器设计中的应用优势。

    标签: FPGA PWM 高频

    上传时间: 2013-07-23

    上传用户:qulele

  • H264帧间预测算法研究与FPGA设计.rar

    随着数字化技术的飞速发展,数字视频信号的传输技术更是受到人们的关注。相比较其它类型的信息传输如文本和数据,视频通信需要占用更多的带宽资源,因此为了实现在带宽受限的条件下的传输,视频源必须经过大量压缩。尽管现在的网络状况不断地改善,但相对与快速增长的视频业务而言,网络带宽资源仍然是远远不够的。2003年3月,新一代视频压缩标准H.264/AVC的推出,使视频压缩研究进入了一个新的层次。H.264标准中包含了很多先进的视频压缩编码方法,与以前的视频编码标准相比具有明显的进步。在相同视觉感知质量的情况下,H.264的编码效率比H.263提高了一倍左右,并且有更好的网络友好性。然而,高编码压缩率是以很高的计算复杂度为代价的,H.264标准的计算复杂度约为H.263的3倍,所以在实际应用中必须对其算法进行优化以减低其计算复杂度。 @@ 本文首先介绍了H.264标准的研究背景,分析了国内外H.264硬件系统的研究现状,并介绍了本文的主要工作。 @@ 接着对H.264编码标准的理论知识、关键技术分别进行了介绍。 @@ 对H.264块匹配运动估计算法进行研究,对经典的块匹配运动估计算法通过对比分析,三步、二维等算法在搜索效率上优于全搜索算法,而全搜索算法在数据流的规则性和均匀性有着自己的优越性。 @@ 针对块匹配运动估计全搜索算法的VLSI结构的特点,提出改进的块匹配运动估计全搜索算法。本文基于对数据流的分析,对硬件寻址进行了研究。通过一次完整的全搜索数据流分析,改进的块匹配运动估计算法在时钟周期、PE资源消耗方面得到优化。 @@ 最后基于FPGA平台对整像素运动估计模块进行了研究。首先对运动估计模块结构进行了功能子模块划分;然后对每个子模块进行设计和仿真和对整个运动估计模块进行联合仿真验证。 @@关键词:H.264;FPGA;QuartusⅡ;帧间预测;运动估计;块匹配

    标签: H264 FPGA 帧间预测

    上传时间: 2013-04-24

    上传用户:zttztt2005

  • 基于FPGA利用FFT算法实现GPSCA码捕获的研究.rar

    随着中国二代导航系统的建设,卫星导航的应用将普及到各个行业,具有自主知识产权的卫星导航接收机的研究与设计是该领域的一个研究热点。在接收机的设计中,对于成熟技术将利用ASIC芯片进行批量生产,该芯片是专用芯片,一旦制造成型不能改变。但是对于正在研究的接收机技术,特别是在需要利用接收机平台进行提高接收机性能研究时,利用FPGA通用可编程门阵列芯片是非常方便的。在FPGA上的研究成果,一旦成熟可以很方便的移植到ASIC芯片,进行批量生产。本课题就是基于FPGA研究GPS并行捕获技术的硬件电路,着重进行了其中一个捕获通道的设计和实现。 GPS信号捕获时间是影响GPS接收机性能的一个关键因素,尤其是在高动态和实时性要求高的应用中或者对弱GPS信号的捕获方面。因此,本文在滑动相关法基础上引出了基于FFT的并行快速捕获方法,采用自顶向下的方法对系统进行总体功能划分和结构设计,并采用自底向上的方法对系统进行功能实现和验证。 本课题以Xilinx公司的Spartan3E开发板为硬件开发平台,以ISE9.2i为软件开发平台,采用Verilog HDL编程实现该系统。并利用Nemerix公司的GPS射频芯片NJ1006A设计制作了GPS中频信号产生平台。该平台可实时地输出采样频率为16.367MHz的GPS数字中频信号。 本课题主要是基于采样率变换和FFT实现对GPS C/A码的捕获。该算法利用平均采样的方法,将信号的采样率降低到1.024 MHz,在低采样率下利用成熟的1024点FFT IP核对C/A码进行粗捕,给出GPS信号的码相位(精度大约为1/4码片)和载波的多普勒频率,符合GPS后续跟踪的要求。 同时,由于FFT算法是以资源换取时间的方法来提高GPS捕获速度的,所以在设计时,合理地采用FPGA设计思想与技巧优化系统。基于实用性的要求,详细的给出了基于FFT的GPS并行捕获各个模块的实现原理、实现结构以及仿真结果。并达到降低系统硬件资源,能够快速、高效地实现对GPS C/A码捕获的要求。 本研究是导航研究所承担的国家863课题“利用多径信号提高GNSS接收机性能的新技术研究”中关于接收机信号捕获算法的一部分,对接收机的设计具有一定的参考价值。

    标签: GPSCA FPGA FFT

    上传时间: 2013-07-22

    上传用户:user08x

  • 基于FPGA的浮点运算器设计.rar

    随着电子工业应用领域需求的增长,要实现复杂程度较高的数字电子系统,对数据处理能力提出越来越高的要求。定点运算已经很难满足高性能数字系统的需要,而浮点数相对于定点数,具有表述范围宽,有效精度高等优点,在航空航天、遥感、机器人技术以及涉及指数运算和信号处理等领域有着广泛的应用。对浮点运算的要求主要体现在两个方面:一是速度,即如何快速有效的完成浮点运算;二是精度,即浮点运算能够提供多少位的有效数字。 计算机性价比的提高以及可编程逻辑器件的出现,对传统的数字电子系统设计方法进行了变革。FPGA(Field Programmable Gate Array,现场可编程门阵列)让设计师通过设计芯片来实现电子系统的功能,将传统的固件选用及电路板设计工作放在芯片设计中进行。FPGA可以完成极其复杂的时序与组合逻辑电路功能,适用于高速、高密度,如运算器、数字滤波器、二维卷积器等具有复杂算法的逻辑单元和信号处理单元的逻辑设计领域。 鉴于FPGA技术的特点和浮点运算的广泛应用,本文基于FPGA将浮点运算结合实际应用设计一个触摸式浮点计算器,主要目的是通过VHDL语言编程来实现浮点数的加减、乘除和开方等基本运算功能。 (1)给出系统的整体框架设计和各模块的实现,包括芯片的选择、各模块之间的时序以及控制、每个运算模块详细的工作原理和算法设计流程; (2)通过VHDL语言编程来实现浮点数的加减、乘除和开方等基本运算功能; (3)在Xilinx ISE环境下,对系统的主要模块进行开发设计及功能仿真,验证了基于FPGA的浮点运算。

    标签: FPGA 浮点运算器

    上传时间: 2013-04-24

    上传用户:咔乐坞

  • 基于FPGA的直扩通信系统的同步设计与实现.rar

    扩频通信技术因为具有较强的抗干扰、抗噪声、抗多径衰落能力、较好的保密性、较强的多址能力和高精度测量等优点,在军事抗干扰和个人通信业务中得到了很大的发展。尤其是基于扩频理论的CDMA通信技术成为国际电联规定的第三代移动通信系统的主要标准化建议后,标志着扩频通信技术在民用通信领域的应用进入了新阶段。 近年来,随着微电子技术和电子设计自动化(EDA)技术的迅速发展,以FPGA和CPLD为代表的可编程逻辑器件凭借其设计方便灵活等特点广泛应用于数字信号处理领域。 本论文正是采用基于FPGA硬件平台来实现了一个直接序列扩频通信基带系统,该系统的实现涉及扩频通信和有关FPGA的相关知识,以及实现这些模块的VHDL硬件描述语言和QuartusⅡ开发平台,目标是实现一个集成度高、灵活性强、并具有较强的数据处理能力的扩频通信基带系统。 本论文中首先对扩频通信的基础理论做了探讨,着重对直序扩频的理论进行了分析;其次根据理论分析,设计了全数字直接序列扩频基带系统的结构,完成了扩频序列的产生、信息码的输入和扩频。重点完成了对基带扩频信号的相关解扩和几种同步捕获电路的设计,将多种专用芯片的功能集成在一片大规模FPGA芯片上。在论文中列出了部分模块的VHDL程序,并在QuartusⅡ仿真平台上完成各部分模块的功能仿真。

    标签: FPGA 直扩通信 同步设计

    上传时间: 2013-04-24

    上传用户:chenjjer

  • 基于FPGA的PCI软核模块的研究与实现.rar

    本课题是在课题组已实现的高速串行通信平台的基础上,进一步引伸,设计开源的PCI软核通信模块替代Xilinx公司提供的LogiCORE PCI核,力求在从模式下,做到占用资源更少,传输速度更快,也为以后实现更完整的功能提供平台。 本文以此为背景,基于FPGA平台,搭建以开源的PCI软核为核心的串行通信接口平台,使其成为PCI总线与用户逻辑之间的桥梁,使用户逻辑避开与复杂的PCI总线协议。本课题采用Spartan-II FPGA芯片XC2S200-6FG456C系统开发板作为串行通信接口的硬件实验平台,实现了支持配置读/写交易、单数据段读/写、突发模式读/写、命令/地址译码功能和数据传送错误检测与处理功能的PCI软核。 本文主要阐述了以PCI软核为核心的串行通信平台的实现,首先介绍了PCI软核的编程语言、软件工具和硬件实验平台Spartan-II FPGA芯片XC2S200-6FG456C系统开发板。然后,介绍了PCI总线命令、PCI软核所支持的功能、PCI软核两侧信号的定义、PCI软核配置模块以及探讨了PCI软核的状态机接收、发送数据等过程,分析了PCI软核的数据收发功能仿真,主要包括配置读/写交易、单数据段模式读/写和突发模式读/写的仿真图形,并阐述了管脚约束的操作流程。最后介绍PCI软核模块的WDM驱动,内容包括驱动程序简介、驱动程序的开发、中断处理、驱动程序与应用程序之间的通信以及应用程序操作。最后,对PCI软核的各种性能进行了比较分析。整个模块设计紧凑,完成在实验平台上的数据发送。 设计选用硬件描述语言VerilogHDL,在开发工具Xilinx ISE7.1中完成整个系统的设计、综合、布局布线,利用Modelsim进行功能及时序仿真,使用DriverWorks为PCI软核编写WinXP下的驱动程序,用VC++6.0编写相应的测试应用程序。之后,将FPGA设计下载到Spanan-II FPGA芯片XC2S200-6FG456C系统开发板中运行。 文章最后指出工作中的不足之处和需要进一步完善的地方。

    标签: FPGA PCI 软核

    上传时间: 2013-04-24

    上传用户:sc965382896

  • 基于FPGA通信原理实验系统的研究.rar

    通信与信息技术行业飞速发展,已成为我国支柱产业之一。随着该行业的迅速发展,社会对具备实际动手能力人才的需求也不断增加,高校通信教学改革势在必行。在最初的通信原理实验设备中每个实验独立占用一块硬件资源,随着EDA技术的发展,实验设备厂商将CPLD/FPGA技术作为独立的一项实验内容,加入到通信原理实验设备中。FPGA技术具备集成度高、速度快和现场可编程的优势,适合高集成度和高速的时序运算。本文总结现有通信原理实验设备的优缺点,采用FPGA技术设计出集验证性和设计性于一体,具备较高的综合性和系统性的通信原理实验系统。  本系统提供了一个开放性的硬件、软件平台,从培养学生实际动手能力出发,利用FPGA在通用的硬件上实现所有实验内容。学生在本系统上除了能完成已固化的实验内容,还可以实现电子设计开发和验证。这对培养学生的实践能力大有裨益。  本文结合数字通信系统基本模型,把基于FPGA的通信原理实验系统划分为信号源模块、发送端模块、信道仿真模块、接收端模块和同步模块几部分。其中,模拟信号源采用DDS技术,能够生成非常高的频率精度,可作为任意波形发生器。发送端和接收端模块结合到一起组成多体制调制解调器,形成多频段、多波形的软件无线电系统。载波同步采用全数字COSTAS环提取技术,具备良好的载波跟踪特性,利用对载波相位不敏感 的Gardner算法跟踪位同步信号。  本文首先介绍了通信原理实验系统的研究现状和意义;然后根据通信系统模型从《通信原理》各个章节中提炼出各模块的实验内容,分别列出各实验的数字化实现模型;继而根据各模块资源需求选取合适FPGA芯片,并给出硬件设计方案;最后,给出各模块在FPGA上具体实现过程、系统测试结果及分析。测试和实际运行结果表明设计方法正确,且功能和技术指标满足设计要求。 关键词:通信原理,实验系统,FPGA,DDS,多体制调制解调,全数字COSTAS环,位同步

    标签: FPGA 通信原理 实验系统

    上传时间: 2013-07-07

    上传用户:evil

  • FIR数字滤波器的FPGA最佳实现方法研究.rar

    在图像处理、数据传输、雷达接收等现代信号处理领域,对信号处理的稳定性、实时性和灵活性都有很高的要求。FIR数字滤波器因其线性相位特性满足了现代信号处理领域对滤波器的高性能要求,成为应用最广泛的数字滤波器之一。高密度的FPGA兼顾实时性和灵活性,为FIR数字滤波器的实现提供了强大的硬件支持。 现今FIR数字滤波器的FPGA实现方法中最常用的是基于DA的实现方法和基于CSD编码的实现方法,本文对这两种实现方法进行了深入的探讨,并进行了一定的改进。本论文所做的主要工作和创新如下: 1、对FIR数字滤波器的硬件实现方法进行了理论研究,其中着重对并行FIR数字滤波器的实现方法进行了深入探讨并提出了一个改进的实现方法:基于CSD-DA的改进实现方法。这个实现方法在一定情况下比单纯的基于CSD编码的实现方法和基于DA的实现方法都要节约芯片面积。 2、经过电路建模和数学推导提出了“CSD-DA择优比较法”。该比较法可以从基于CSD编码的实现方法、基于DA的实现方法以及基于CSD-DA的改进实现方法中较精确的选择出最佳实现方法。 3、用Cyclone EPEC6Q240C8芯片和音频编解码芯片TLV320AIC23B实现了一个可以滤除音频信号中高频噪声的音频FIR数字低通滤波器。

    标签: FPGA FIR 数字滤波器

    上传时间: 2013-06-07

    上传用户:zhangyi99104144