虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

1对1直接输出

  • mil、inch、foot和mm的换算关系及软件

    换算关系还是简单,不过要算来算去还是需要工具,现在给大家提供的就是它们之间的换算软件。 首先要知道,这几个都是长度单位:foot是英尺,inch是英寸,mil是密耳。 1 mil=0.0254 mm 10 mil=0.254 mm 100 mil=2.54 mm 1 inch=1000 mil= 25.4 mm 1 foot = 12 inch = 304.8 mm=30.48 cm, 而市制单位中,1尺 = 1/3米 = 33.3 cm,二者差不多。 我们经常会听到或看到欧美国家谈论一个人的身高xx英尺xx英寸,可以试着换算一下。

    标签: inch foot mil 软件

    上传时间: 2013-10-09

    上传用户:稀世之宝039

  • mil、inch、foot和mm的换算关系及软件

    换算关系还是简单,不过要算来算去还是需要工具,现在给大家提供的就是它们之间的换算软件。 首先要知道,这几个都是长度单位:foot是英尺,inch是英寸,mil是密耳。 1 mil=0.0254 mm 10 mil=0.254 mm 100 mil=2.54 mm 1 inch=1000 mil= 25.4 mm 1 foot = 12 inch = 304.8 mm=30.48 cm, 而市制单位中,1尺 = 1/3米 = 33.3 cm,二者差不多。 我们经常会听到或看到欧美国家谈论一个人的身高xx英尺xx英寸,可以试着换算一下。

    标签: inch foot mil 软件

    上传时间: 2013-10-08

    上传用户:helmos

  • 基于Xilinx FPGA的温控风扇的设计(原文设计、源代码及视频地址)

      本设计的整体思路是:以XILINX FPGA作为控制中心,通过提取热释电红外传感器感应到的人体红外线信息,并利用温度传感器DS18B20检测环境温度并直接输出数字温度信号给FPGA 进行处理,在LED数码管上显示当前环境温度值以及预设温度值。通过独立键盘输入预设温度值,其中预设温度值只能为整数形式,检测到的当前环境温度可精确 到小数点后一位。同时采用PWM脉宽调制方式来改变直流风扇电机的转速。并通过两个按键改变预设温度值,一个提高预设温度,另一个降低预设温度值。系统结 构框图如下:

    标签: Xilinx FPGA 温控 地址

    上传时间: 2013-11-12

    上传用户:cjf0304

  • 怎样使用Nios II处理器来构建多处理器系统

    怎样使用Nios II处理器来构建多处理器系统 Chapter 1. Creating Multiprocessor Nios II Systems Introduction to Nios II Multiprocessor Systems . . . . . . . . . . . . . . 1–1 Benefits of Hierarchical Multiprocessor Systems  . . . . . . . . . . . . . . . 1–2 Nios II Multiprocessor Systems . . . . . . . . . . . . . . . . . . . .  . . . . . . . . . . . . . 1–2 Multiprocessor Tutorial Prerequisites   . . . . . . . . . . .  . . . . . . . . . . . . 1–3 Hardware Designs for Peripheral Sharing   . . . . . . . . . . . .. . . . . . . . 1–3 Autonomous Multiprocessors   . . . . . . . . . . . . . . . . . . . . . .  . . . . . . . 1–3 Multiprocessors that Share Peripherals . . . . . . . . . . . . . . . . . . . . . . 1–4 Sharing Peripherals in a Multiprocessor System   . . . . . . . . . . . . . . . . . 1–4 Sharing Memory  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–6 The Hardware Mutex Core  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–7 Sharing Peripherals   . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . 1–8 Overlapping Address Space  . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–8 Software Design Considerations for Multiple Processors . . .. . . . . 1–9 Program Memory  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–9 Boot Addresses  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 1–13 Debugging Nios II Multiprocessor Designs  . . . . . . . . . . . . . . . .  1–15 Design Example: The Dining Philosophers’ Problem   . . . . .. . . 1–15 Hardware and Software Requirements . . . . . . . . . . . . . . . .. . . 1–16 Installation Notes  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–17 Creating the Hardware System   . . . . . . . . . . . . . . .. . . . . . 1–17 Getting Started with the multiprocessor_tutorial_start Design Example   1–17 Viewing a Philosopher System   . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . 1–18 Philosopher System Pipeline Bridges  . . . . . . . . . . . . . . . . . . . . . 1–19 Adding Philosopher Subsystems   . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–21 Connecting the Philosopher Subsystems  . . . . . . . . . . . . .. . . . . 1–22 Viewing the Complete System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–27 Generating and Compiling the System   . . . . . . . . . . . . . . . . . .. 1–28

    标签: Nios 处理器 多处理器

    上传时间: 2013-11-21

    上传用户:lo25643

  • 使用Nios II紧耦合存储器教程

                 使用Nios II紧耦合存储器教程 Chapter 1. Using Tightly Coupled Memory with the Nios II Processor Reasons for Using Tightly Coupled Memory  . . . . . . . . . . . . . . . . . . . . . . . 1–1 Tradeoffs  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–1 Guidelines for Using Tightly Coupled Memory . . . .. . . . . . . . 1–2 Hardware Guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–2 Software Guidelines  . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . 1–3 Locating Functions in Tightly Coupled Memory  . . . . . . . . . . . . . 1–3 Tightly Coupled Memory Interface   . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–4 Restrictions   . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–4 Dual Port Memories  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . 1–5 Building a Nios II System with Tightly Coupled Memory  . . . . . . . . . . . 1–5

    标签: Nios 耦合 存储器 教程

    上传时间: 2013-10-13

    上传用户:黄婷婷思密达

  • Nios II定制指令用户指南

         Nios II定制指令用户指南:With the Altera Nios II embedded processor, you as the system designer can accelerate time-critical software algorithms by adding custom instructions to the Nios II processor instruction set. Using custom instructions, you can reduce a complex sequence of standard instructions to a single instruction implemented in hardware. You can use this feature for a variety of applications, for example, to optimize software inner loops for digital signal processing (DSP), packet header processing, and computation-intensive applications. The Nios II configuration wizard,part of the Quartus® II software’s SOPC Builder, provides a graphical user interface (GUI) used to add up to 256 custom instructions to the Nios II processor. The custom instruction logic connects directly to the Nios II arithmetic logic unit (ALU) as shown in Figure 1–1.

    标签: Nios 定制 指令 用户

    上传时间: 2013-10-12

    上传用户:kang1923

  • Allegro PCB Layout高速电路板设计

    电路板设计介绍1.1 现有的设计趋势.............................................................................1-21.2 产品研发流程................................................................................1-21.3 电路板设计流程.............................................................................1-31.3.1 前处理 – 电子设计资料和机构设计资料整理...................1-41.3.2 前处理 – 建立布局零件库.................................................1-81.3.3 前处理 – 整合电子设计资料及布局零件库.......................1-81.3.4 中处理 – 读取电子/机构设计资料....................................1-91.3.5 中处理 – 摆放零件............................................................1-91.3.6 中处理 – 拉线/摆放测试点/修线......................................1-91.3.7 后处理 – 文字面处理......................................................1-101.3.8 后处理 – 底片处理..........................................................1-111.3.9 后处理 – 报表处理..........................................................

    标签: Allegro Layout PCB 高速电路板

    上传时间: 2013-10-24

    上传用户:dudu1210004

  • PCB抄板密技

    第一步,拿到一块PCB,首先在纸上记录好所有元气件的型号,参数,以及位置,尤其是二极管,三机管的方向,IC缺口的方向。最好用数码相机拍两张元气件位置的照片。第二步,拆掉所有器件,并且将PAD孔里的锡去掉。用酒精将PCB清洗干净,然后放入扫描仪内,启动POHTOSHOP,用彩色方式将丝印面扫入,并打印出来备用。第三步,用水纱纸将TOP LAYER 和BOTTOM LAYER两层轻微打磨,打磨到铜膜发亮,放入扫描仪,启动PHOTOSHOP,用彩色方式将两层分别扫入。注意,PCB在扫描仪内摆放一定要横平树直,否则扫描的图象就无法使用。第四步,调整画布的对比度,明暗度,使有铜膜的部分和没有铜膜的部分对比强烈,然后将次图转为黑白色,检查线条是否清晰,如果不清晰,则重复本步骤。如果清晰,将图存为黑白BMP格式文件TOP.BMP和BOT.BMP。第五步,将两个BMP格式的文件分别转为PROTEL格式文件,在PROTEL中调入两层,如过两层的PAD和VIA的位置基本重合,表明前几个步骤做的很好,如果有偏差,则重复第三步。第六,将TOP。BMP转化为TOP。PCB,注意要转化到SILK层,就是黄色的那层,然后你在TOP层描线就是了,并且根据第二步的图纸放置器件。画完后将SILK层删掉。 第七步,将BOT。BMP转化为BOT。PCB,注意要转化到SILK层,就是黄色的那层,然后你在BOT层描线就是了。画完后将SILK层删掉。第八步,在PROTEL中将TOP。PCB和BOT。PCB调入,合为一个图就OK了。第九步,用激光打印机将TOP LAYER, BOTTOM LAYER分别打印到透明胶片上(1:1的比例),把胶片放到那块PCB上,比较一下是否有误,如果没错,你就大功告成了。

    标签: PCB 抄板

    上传时间: 2013-11-24

    上传用户:ynzfm

  • orcad全能混合电路仿真

    0RCAD全能混合电路仿真:第一部分 0rCAD环境与Capture第l章 OrCAD PSpice简介1—1 SPICE的起源1—2 OrCAD PSpice的特点1—3 评估版光盘的安装1—4 评估版的限制1—4—1 Capture CIS 9.0评估版的限制1—4—2 PSpiceA/D9.0评估版限制1—5 系统需求1—6 PSpice可执行的仿真分析1—6—1 基本分析1—6—2 高级分析1—7 Capture与PSpice名词解释1—7—1 文件与文件编辑程序1—7—2 对象、电气对象与属性1—7—3 元件、元件库与模型1—7—4 绘图页、标题区与边框1—7—5 绘图页文件夹、设计、设计快取内存1—7—6 项目与项目管理程序

    标签: orcad 混合电路 仿真

    上传时间: 2013-10-23

    上传用户:wincoder

  • 传输线与电路观点详解

      •1-1 傳輸線方程式 •1-2 傳輸線問題的時域分析 •1-3 正弦狀的行進波 •1-4 傳輸線問題的頻域分析 •1-5 駐波和駐波比 •1-6 Smith圖 •1-7 多段傳輸線問題的解法 •1-8 傳輸線的阻抗匹配

    标签: 传输线 电路

    上传时间: 2013-10-21

    上传用户:fhzm5658