虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

约束

  • Allegro-PCB-SI-一步一步学会前仿真.pdf

    Allegro PCB SI的前仿真  前仿真,顾名思义,就是布局或布线前的仿真,是以优化信号质量、避免信号完整性和电源完整性为目的,  在众多的影响因素中,找到可行的、乃至最优化的解决方案的分析和仿真过程。简单的说,前仿真要做到两件  事:其一是找到解决方案;其二是将解决方案转化成规则指导和控制设计。  一般而言,我们可以通过前仿真确认器件的IO特性参数乃至型号的选择,传输线的阻抗乃至电路板的叠层,  匹配元件的位置和元件值,传输线的拓扑结构和分段长度等。  使用Allegro PCB SI进行前仿真的基本流程如下:  ■ 准备仿真模型和其他需求  ■ 仿真前的规划  ■ 关键器件预布局  ■ 模型加载和仿真配置  ■ 方案空间分析  ■ 方案到约束规则的转化  2.1 准备仿真模型和其他需求  在本阶段,我们需要为使用Allegro PCB SI进行前仿真做如下准备工作:PCB 打板,器件代采购,贴片,一站式服务!www.massembly.com  麦斯艾姆,最贴心的研发伙伴! www.massembly.com  研发样

    标签: allegro pcb

    上传时间: 2022-02-09

    上传用户:slq1234567890

  • HC-05蓝牙模块最新资料包

    HC-05最新资料,包括AT指令介绍,模块介绍,串口调试助手,单片机例程,模块的封装等等模块介绍:HC-05 蓝牙串口通信模块,是基于 Bluetooth Specification V2.0 带 EDR 蓝牙协议的数传模块。无线工作频段为 2.4GHz ISM,调制方式是 GFSK。模块最大发射功率为 4dBm,接收灵敏度-85dBm,板载 PCB 天线,可以实现 10 米距离通信。模块采用邮票孔封装方式,模块大小 27mm×13mm×2mm,方便客户嵌入应用系统之内,自带 LED 灯,可直观判断蓝牙的连接状态。模块采用 CSR 的 BC417 芯片,支持 AT 指令,用户可根据需要更改角色(主、从模式)以及串口波特率、设备名称等参数,使用灵活。设置一个为主机,一个为从机,配对码一致(默认均为 1234),波特率一致,上电即可自动连接。HC-05 支持一对一连接。在连接模式 CMODE 为 0 时,主机第一次连接后,会自动记忆配对对象,如需连接其他模块, 必须先清除配对记忆。在连接模式 CMODE 为 1 时,主机则不受绑定指令设置地址的约束,可以与其他从机模块连接。

    标签: hc-05 蓝牙模块

    上传时间: 2022-02-21

    上传用户:jiabin

  • FPGA核心知识详解与开发技巧-完整版.docx

    FPGA核心知识详解与开发技巧对初级FPGA工程师而言,必须掌握FPGA相关基础知识、精通硬件描述语言、熟练数字电路设计、加强工程项目的实践。应广大初级FPGA工程师/FPGA爱好者之需,电子发烧友网策划整合并隆重推出FPGA核心知识详解与开发技巧电子书,以后会陆续推出其他章节,敬请广大工程师朋友继续关注和留意。目录1、FPGA核心知识详解(1):FPGA入门必备2、FPGA核心知识详解(2):FPGA入门书籍推荐篇3、FPGA核心知识详解(3):那些让FPGA初学者纠结的仿真4、FPGA开发技巧(1)5、FPGA开发技巧(2)6、FPGA开发技巧(3)7、FPGA开发技巧(4)8、FPGA开发技巧(5)9、FPGA开发技巧(6)你能从这本书中学到什么本书主要讲解FPGA相关基础知识、精通硬件描述语言、熟练数字电路设计、加强工程项目的实践,包括:FPGA入门必备FPGA入门书籍推荐篇那些让FPGA初学者纠结的仿真FPGA开发技巧(6篇)适宜人群本书籍主要介绍FPGA设计技巧,适合电子类专业的学生、初级电子工程师需要学习FPGA设计知识的从业人员希望加强FPGA设计技能的电子爱好者FPGA学习笔记之时序处理技巧时序分析是FPGA设计中永恒的话题,也是FPGA开发人员设计进阶的必由之路。慢慢来,先介绍时序分析中的一些基本概念。应广大初级FPGA工程师/FPGA爱好者之需,电子发烧友网策划整合并隆重推出FPGA学习笔记之时序处理技巧电子书,以后会陆续推出其他章节,敬请广大工程师朋友继续关注和留意。目录1时序分析中的一些基本概念2FPGA进行静态时序分析3Xilinx FPGA编程技巧常用时序约束方法48 忠告 FPGA系统设计时序检查问题5如何有效的管理FPGA设计中的时序问题你能从这本书中学到什么本书主要讲解FPGA时序分析的基本概念及常见问题的解决办法,包括:时序分析中的一些基本概念FPGA进行静态时序分析Xilinx FPGA编程技巧常用时序约束方法8 忠告 FPGA系统设计时序检查问题如何有效的管理FPGA设计中的时序问题适宜人群本书籍主要介绍FPGA设计技巧,适合电子类专业的学生、初级电子工程师需要学习FPGA设计知识的从业人员希望加强FPGA设计技能的电子爱好者

    标签: fpga

    上传时间: 2022-05-02

    上传用户:XuVshu

  • AD电阻3D封装lukougao

    在电子设计中一定会用到各种元器件的原理图和封装图,每个人在使用过程中可以自己创建或者在网站下载或者网上购买,但是所有的封装库混在一起比较混乱,命名规则也五花八门。长此以往导致自己使用很麻烦,所以本人根据元器件的封装类型,约束了封装的命名规则,便于查找和使用。本人致力于将所有常用的元器件封装做一套完整的封装库,以便于大家使用。另外对于3D封装,一般是借用其他人的封装库而创建的,由于本人不是机械设计出生,部分3D封装尺寸可能不是很正确望见谅。对于直插的排阻可以使用SIP封装,本人会在后续的资料中上传。电阻内容较少,不设下载积分。后续资料会需要少量积分,也是希望大家能够喜欢并给与鼓励。如果能打赏一二就万分感激了。

    标签: ad 电阻 3D封装

    上传时间: 2022-05-04

    上传用户:moh2000

  • xilinx FPGA 基于RTL8211EG的以太网通信

    基于RTL8211EG的以太网通信,使用ISE平台编写,若要移植到其他芯片,更改引脚约束即可。

    标签: xilinx fpga rtl8211eg 以太网 通信

    上传时间: 2022-05-11

    上传用户:kent

  • Xilinx FPGA应用进阶 通用IP核详解和设计开发

    本书系统讲解通信网络领域Xilinx FPGA内部的IP硬核。以流行的Xilinx Virtex-6型号芯片举例,涵盖Xilinx FPGA在通信领域主流的IP核,阐述Xilinx FPGA时钟资源和DCM、PLL和MMCM时钟管理器的特性和使用方法;介绍基于Block RAM资源生成ROM、RAM、FIFO和CAM核的使用过程。阐述TEMAC核背景知识、内部结构、接口时序和配置参数,给出生成实例;介绍LVDS技术规范、源同步实现方案和去偏移技术,讲解Xilinx FPGA中IODELAYE1、ISERDES1和OSERDES核使用方法;阐述Xilinx FPGA DDR3控制器IP核的结构组成、模块划分、接口信号和物理约束等。

    标签: xilinx fpga ip核

    上传时间: 2022-06-11

    上传用户:kjl

  • (网盘)vivado 41讲入门与提高 视频教程

    第41讲 Tcl在Vivado中的应用(7):非工程模式下的设计流程管理第40讲 Tcl在Vivado中的应用(6):工程模式下的设计流程管理第39讲 Tcl在Vivado中的应用(5):使用Xilinx Tcl Store第38讲 Tcl在Vivado中的应用(4):嵌入自定义Tcl命令第37讲 Tcl在Vivado中的应用(3):使用Hook Script第36讲 Tcl在Vivado中的应用(2):定制报告第35讲 Tcl在Vivado中的应用(1):编辑网表第34讲 利用Vivado IP Integrator进行设计开发第33讲 功耗估计和优化第32讲 UltraFast设计方法学(11):时序收敛之10个时序收敛技巧第31讲 UltraFast设计方法学(10):时序收敛之时序约束基本准则第30讲 UltraFast设计方法学(9):理解实现策略第29讲 UltraFast设计方法学(8):在Vivado中使用设计规则检查第28讲 UltraFast设计方法学(7):如何管理IP约束第27讲 UltraFast设计方法学(6):定义时钟分组第26讲 UltraFast设计方法学(5):时序约束第25讲 UltraFast设计方法学(4):RTL代码风格(2)第24讲 UltraFast设计方法学(3):RTL代码风格(1)第23讲 UltraFast设计方法学(2):时钟第22讲 UltraFast设计方法学(1):初识UltraFast第21讲 综合后的设计分析(2):时序分析第20讲 综合后的设计分析(1):资源与扇出分析第19讲 约束的优先级第18讲 设置伪路径第17讲 设置多周期路径约束第16讲 虚拟时钟第15讲 设置输出延时约束第14讲 设置输入延时约束第13讲 创建基本时钟周期约束第12讲 时序分析中的基本概念和术语第11讲 与Vivado设计流程相关的一些技巧第10讲 输入/输出和时钟规划第9讲 编程与调试第8讲 Vivado里最常用的5个Tcl命令第7讲 增量实现第6讲 实现第5讲 综合的基本设置和综合属性第4讲 基于ModelSim的逻辑仿真(DEMO工程文件与第三讲一致!)第3讲 基于XSim的逻辑仿真第2讲 用三个DEMO讲解如何在设计中使用IP

    标签: vivado

    上传时间: 2022-06-13

    上传用户:jason_vip1

  • ALTERA FPGA/CPLD设计 高级篇(第2版)

    《Altera FPGA/CPLD设计(高级篇)(第2版)》结合作者多年工作经验,深入地讨论了altera fpga/cpld的设计和优化技巧。在讨论fpga/cpld设计指导原则的基础上,介绍了altera器件的高级应用;引领读者学习逻辑锁定设计工具,详细讨论了时序约束与静态时序分析方法;结合实例讨论如何进行设计优化,介绍了altera的可编程器件的高级设计工具与系统级设计技巧。    本书附带光盘中收录了altera quartus ii web版软件,读者可以安装使用,同时还收录了本书所有实例的完整工程、源代码和使用说明文件,便于读者边学边练,提高实际应用能力。第1章  可编程逻辑设计指导原则  第2章  Altera器件高级特性与应用第3章  LogicLock设计方法.第4章  时序约束与时序分析  第5章  设计优化第6章  Altera其他高级工具  第7章  FPGA系统级设计技术  

    标签: fpga cpld

    上传时间: 2022-06-13

    上传用户:kjl

  • vivado从此开始

    本书涵盖了Vivado的四大主题:设计流程、时序约束、设计分析和Tcl脚本的使用,结合实例深入浅出地阐述了Vivado的使用方法,精心总结了Vivado在实际工程应用中的一些技巧和注意事项,既包含图形界面操作方式,也包含相应的Tcl命令。本书语言流畅,图文并茂。全书共包含405张图片、17个表格、172个Tcl脚本和39个HDL代码,同时,本书配有41个电子教学课件,为读者提供了直观而生动的资料。本书可供电子工程领域内的本科高年级学生和研究生学习参考,也可供FPGA工程师和自学者参考使用。

    标签: vivado

    上传时间: 2022-06-15

    上传用户:GGMD

  • vivado约束指导手册

    时序路径时序路径由设计中instance之间的连接决定。在数字设计中,时序路径由一对时序元作sequential elements)形成,这对时序元件由一个或二个不同的时钟控制。普通时序路径在任何设计中最普通的时序路径有以下4种:1输入端口到内部时序单元路径2从时序单元到时序单元之间的内部路径3从内部时序单元到输出端口之间的路径4输入端口到输出端口之间的路径输入端口到内部时序单元之间路径在从输入端口到内部时序单元之间的路径上传输的数据:通过管脚时钟送出器件经过一个称为输入延时的延时到达器件端口(SDC定义)在到达由目标时钟destination clock)锁定的时序单元之前须通过器件内部逻从时序单元到时序单元的内部路径在从时序单元到时序单元的内部路径上传输的数据:由时序单元发送到器件内部,而此时序单元由源时钟(source clock)驱动,在到达由日标时钟驱动的时宁单元之前,须经过一些内部逻辑内部时序单元到外部端口路径在从内部时序单元到外部端口路径上的数据:,由时序单元发送到器件内部,而此时序单元由源时钟(source clock)驱动,在到达外部端口之前,须经过一些内部逻辑,在经过一段称为输出廷时的额外延时之后被端口时钟捕获(SDC definition)

    标签: vivado

    上传时间: 2022-06-16

    上传用户:zhengtiantong