虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

硬件 工程师 必备

  • 华为模拟电路讲义

    华为内部资料 工程师必备手册 入职华为必看教材

    标签: 模拟电路

    上传时间: 2015-05-24

    上传用户:diorboat

  • 华为内部讲义

    华为内部教材 入职华为必看 工程师必备工程师必备

    标签: 模拟电子

    上传时间: 2015-05-24

    上传用户:diorboat

  • Linux设备驱动开发详解_宋宝华

    驱动程序沟通着硬件和应用软件,而驱动工程师则沟通着硬件工程师和应用 软件工程师。随着通信、电子行业的迅速发展,全世界每天都会有大量的新芯片 被生产,大量的新电路板被设计,因此,也会有大量设备驱动需要开发。这些设 备驱动,或运行在简单的单任务环境中,或运行在 VxWor ks、Li nux、Wi ndows 等多任务操作系统环境中,发挥着不可替代的作用。

    标签: Linux设备驱动开发详解_宋宝华

    上传时间: 2015-10-31

    上传用户:fyzg

  • IGBT选型指南

    IGBT选型指南,适用于硬件工程师在IGBT的选型指导

    标签: IGBT选型指南

    上传时间: 2015-12-03

    上传用户:linlengfeng

  • 3V稳压管

    对硬件工程师选择元器件有一定参考价值,谢谢

    标签: 稳压管

    上传时间: 2016-06-28

    上传用户:米米爱你

  • modbus32

    专用modbus测试工具,工程师必备软件工具!

    标签: modbus 32

    上传时间: 2016-10-30

    上传用户:qq617675714

  • 贴片电阻MARK CODE一览表

    贴片电阻MARK CODE速查表,对硬件工程师比较有用

    标签: MARK CODE 贴片电阻

    上传时间: 2021-11-01

    上传用户:18229097006

  • 华为FPGA设计规范 VERILOG约束 编程规范时序分析等全套资料: FPGA技巧Xilinx.p

    华为FPGA设计规范 VERILOG约束 编程规范时序分析等全套资料:FPGA技巧Xilinx.pdfHuaWei Verilog 约束.rarSynplify工具使用指南(华为文档)[1].rar.rarVerilog HDL 华为入门教程.rarVerilog典型电路设计 华为.rar一种将异步时钟域转换成同步时钟域的方法.pdf华为coding style.rar华为FPGA设计流程指南.doc华为FPGA设计规范.rar华为VHDL设计风格和实现.rar华为专利:一种快速无毛刺的时钟倒换方法.rar华为专利:华为小数分频.rar华为以太网时钟同步技术_时钟透传技术白皮书.rar华为硬件工程师手册目前最全版本.rar华为面经.doc华为面经.rar静态时序分析与逻辑...pdf

    标签: 华为 fpga verilog

    上传时间: 2021-11-05

    上传用户:qdxqdxqdxqdx

  • 工程师必备手册——开关电源实例设计指南.pdf

    开关电源中的开关管从导通到截止,严格来说是一个非常复杂的过程,但我们在进行工作原理分析的时候,一般都会先对一些非主要问题进行简单化。例如,当电源开关管导通或截止的时候,我们就把它看成是一个理想的开关,其工作时只有两种状态,通或断。但实际上开关管的导通和关断都是一个很复杂的过程,它除了通或断之外,还有一个在高频时不能忽视的问题,就是开关管导通时,是从截止区到放大区,然后再由放大区到饱和区的工作过程。这个工作过程需要用微分方程才能求解,在这里我不想对你介绍得太复杂。

    标签: 开关电源

    上传时间: 2021-12-04

    上传用户:trh505

  • Cadence Allegro 教程

    电子设计必备工具,是电子设计工程师必备软件

    标签: cadence allegro

    上传时间: 2021-12-15

    上传用户:ooaaooxx