虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

数字对讲机模块

  • 基于FPGA的智能卡加密模块

    随着计算机和信息技术的飞速发展,信息的安全性越来越受到人们的重视。敏感信息的电子化在使用户得到便利的同时,数据、资源免泄漏也成为了人们必须注意的一个大隐患。在这个信息全球化的时代,病毒、黑客、电子窃听欺骗、网络攻击都是人们所必须面对的重大问题。出于这种需要,加密自然吸引了人们的注意力,而传统的软件加密技术已经越来越不能满足信息安全对运算速度和系统安全性的需求,硬件设施的开发显示出其重要性,硬件加密模块的地位也越来越重要。但其安全性仍存在着一定的问题,对安全性研究仍是不可放松的一个重要问题。 本文介绍了目前几种流行加密算法及标准,并对典型的公钥密码标准RSA进一步说明。RSA算法可以进行数字签名、数据加/解密,将其应用于数据安全领域具有很大的意义。针对于目前硬件加解密相对于软件加解密的种种优势,论文重点研究RSA算法的基于硬件FPGA的设计实现方案。FPGA是近几年的超大规模集成电路设计的焦点,其速度及成本等都占有一定的优势。对RSA算法的FPGA设计,论文主要研究两方面的内容:密钥生成部分中的素数检测问题和加/解密算法中关键瓶颈--大数模乘及模幂运算。并进行了软硬件的仿真、验证与测试。论文对RSA设计模块的可应用领域之一--智能卡及其安全性做了简单的介绍,并对论文所研究实现的模块在其中的应用进行了说明,从而体现了其实际应用价值。

    标签: FPGA 智能卡 加密模块

    上传时间: 2013-07-06

    上传用户:juyuantwo

  • 船用导航雷达数字信号处理设计

    当今的船用导航雷达具有数字化、多功能、高性能、多接口、网络化。同时要求具有高可靠性、高集成度、低成本,信号处理单元的小型化,产品更新周期短。要同时满足上述需求,高集成度的器件应用是必须的。同时开发周期要短,需求软件的可移植性要强,并且是模块化设计,现场可编程门阵列器件(FPGA)已经成为设计首选。 现场可编程门阵列是基于通过可编程互联连接的可配置逻辑块(CLB)矩阵的可编程半导体器件。与为特殊设计而定制的专用集成电路(ASIC)相对,FPGA可以针对所需的应用或功能要求进行编程。虽然具有一次性可编程(OTP)FPGA,但是主要是基于SRAM的,其可随着设计的演化进行重编程。CLB是FPGA内的基本逻辑单元。实际数量和特性会依器件的不同而不同,但是每个CLB都包含一个由4或6个输入、一些选型电路(多路复用器等)和触发器组成的可配置开关矩阵。开关矩阵是高度灵活的,可以进行配置以便处理组合逻辑、移位寄存器或RAM。当今的FPGA已经远远超出了先前版本的基本性能,并且整合了常用功能(如RAM、时钟管理和:DSP)的硬(ASIC型)块。由于具有可编程特性,所以FPGA是众多市场的理想之选。它高集成度,以及用于设计的强大软件平台、IP核、在线升级可满足需求。 本文介绍了基于FPGA实现船用导航雷达数字信号处理的设计,这是一个具体的、已经完成并进行小批量生产的产品,对指导实践具有一定意义。

    标签: 导航雷达 数字信号处理

    上传时间: 2013-04-24

    上传用户:稀世之宝039

  • 基于DSPFPGA的数字电视条件接收系统

    这篇论文以数字电视条件接收系统为研究对象,系统硬件设计以DSP和FPGA为实现平台,采用以DSP实现其加密算法、以FPGA实现其外围电路,对数字电视条件接收系统进行设计。首先根据数字电视条件接收系统的原理及其软硬分离的发展趋势,提出采用 DSP+FPGA结构的设计方式,将ECC与AES加密算法应用于SK与CW的加密;根据其原理对系统进行总体设计,同时对系统各部分的硬件原理图进行详细设计,并进行 PCB设计。其次采用从上而下的设计方式,对FPGA实现的逻辑功能划分为各个功能模块,然后再对各个模块进行设计、仿真。采用Quartus Ⅱ7.2软件对FPGA实现的逻辑功能进行设计、仿真。仿真结果表明:基于通用加扰算法(CSA)的加扰器模块,满足TS流加扰要求;块加密模块的最高时钟频率达到229.89MHz,流加密模块的最高时钟频率达到331.27MHz,对于实际的码流来说,具有比较大的时序裕量;DSP接口模块满足 ADSP BF-535的读写时序;包处理模块实现对加密后数据的包处理。最后对条件接收系统中加密算法程序采用结构化、模块化的编程方式进行设计。 ECC设计时采用C语言与汇编语言混合编程,充分利用两种编程语言的优势。将ECC 与AES加密算法在VisualDSP++3.0开发环境下进行验证,并下载至ADSP BF-535评估板上运行。输出结果表明:有限域运算汇编语言编程的实现方式,其运行速度明显提高, 192位加法提高380个时钟周期,32位乘法提高92个时钟周期;ECC与AES达到加密要求。上述工作对数字电视条件接收系统的设计具有实际的应用价值。关键词:条件接收,DSP,FPGA,ECC,AEs

    标签: DSPFPGA 数字电视 条件接收系统

    上传时间: 2013-07-03

    上传用户:www240697738

  • 全数字OQPSK解调算法的研究及FPGA实现

    随着各种通信系统数量的日益增多,为了充分地利用有限的频谱资源,高频谱利用率的调制技术不断被应用。偏移正交相移键控(OQPSK: Offset QuadraturePhase Shift Keying)是一种恒包络调制技术,具有较高的频谱利用率和功率利用率,广泛应用于卫星通信系统和地面移动通信系统。因此,对于OQPSK全数字解调技术的研究具有一定的理论价值。 本文以软件无线电和全数字解调的相关理论为指导,成功设计并实现了基于FPGA的OQPSK全数字解调。论文介绍了OQPSK全数字接收解调原理和基于软件无线电设计思想的全数字接收机的基本结构,详细阐述了当今OQPSK数字解调中载波频率同步、载波相位同步、时钟同步和数据帧同步的一些常用算法,并选择了相应算法构建了三种系统级的实现方案。通过MATLAB对解调方案的仿真和性能分析,确定了FPGA中的系统实现方案。在此基础上,本文采用VerilogHDL硬件描述语言在Altera公司的Quartus II开发平台上设计了同步解调系统中的各个模块,还对各模块和整个系统在ModelSim中进行了时序仿真验证,并对设计中出现的问题进行了修正。最后,经过FPGA调试工具嵌入式逻辑分析仪SignalTapⅡ的硬件实际测试,本文对系统方案进行了最终的改进与调整。 实际测试结果表明,本文的设计最终能够达到了预期的指标和要求。本课题设计经过时序和资源优化后还可以向ASIC和系统级SOC转化,以进一步缩小系统体积、降低成本和提高电路的可靠性,因此具有良好的实际应用价值。

    标签: OQPSK FPGA 全数字 解调

    上传时间: 2013-07-14

    上传用户:aappkkee

  • 基于ARM感应电机数字控制器的设计

    感应电机具有可靠性好、结构简单、耐腐蚀、效率好、结构紧凑、价格低廉和体积小等优点,成为工业伺服控制的主要传动装置然而,感应电机又是一个多变量、强耦合的非线性系统,磁链和转矩的非线性耦合及参数时变,使得感应电机的控制十分复杂,特别是在实际电机控制系统中,还需要考虑硬件和周围环境等多种因素的干扰,致使实现高性能的感应电机控制系统更加困难 本文研究感应电机的高性能控制策略,综述了感应电机高性能控制策略的发展历程和感应电机模糊控制的发展现状,分析了实际电机控制系统控制器选型中各个嵌入式微处理器的基本性能和优缺点在给出三相坐标系和二相坐标系中的感应电机数学模型之后,从理论上阐述了模糊控制和矢量控制的基本原理,针对传统的PI控制器参数整定繁琐,系统鲁棒性差的缺点,论文将模糊控制技术应用于感应电机的变频调速,采用CRI推理法,设计了一种参数自整定模糊PI矢量控制器,利用Matlab对基于模糊PI控制的感应电机控制系统进行了仿真,并对采用两种控制器实现的感应电机调速控制系统进行了比较、分析仿真结果表明模糊控制的控制性能优于常规的PI调节器 论文对基于ARM的感应电机数字控制技术进行了系统研究,阐述了采用LPC2214ARM微处理器构成数字感应电机变频调速系统的方法,给出了一种高性能感应电机的数字实现方案,详细介绍了系统硬件结构的组成及软件模块的功能,并给出了主要算法的参考代码,为实际电机控制器的选型和开发提供了一个新的思路

    标签: ARM 感应电机 数字控制器

    上传时间: 2013-08-03

    上传用户:sy_jiadeyi

  • 有线数字电视广播系统信道编码

    随着数字电视全国范围丌播时间表的临近,数字电视技术得到很大发展,数字电视信号在信源基带数据和信道传输等方面已经进一步标准化,数字电视传播途径也越来越广,在卫星、地面及有线电视网中传输数字电视信号得到迅速发展。借着2008年奥运的东风,数字电视领域的应用研究方兴未艾。 本课题目的是完成有线数字电视广播系统的重要设备--调制器的设计和实现,核心器件选用FPGA芯片。系统硬件实现以国家标准GY/T 170-2001(有线数字电视广播信道编码与调制规范)为主要依据,以Xilinx公司的Virtex系列(Virtex 4,Virtex 5)芯片及相关开发板(ML402、ML506)为平台,主要任务是基于相关标准对其实用技术进行研究和开发。完成了信道编码和调制的模块划分、Verilog HLD程序的编写(或IP核的调用)和仿真以及在板调试和联调等工作,设计目的是在提高整个系统集成度的前提下实现多频点调制。 本文在研究现有数字电视网络技术和相关产品的基础上,以国标GY/T170-2001为主要依据并参阅了其他的相关标准,提出了多频点QAM调制器的实现方案。整个工作包括:模块划分,完成了基带物理接口(输入)、包头反转与随机化、RS编码、卷积交织、码流变换、差分编码、星座映射、基带成型(包括Nyquist滤波器、半带滤波器、CIC滤波器的设计或模块调用)、高端DAC的配置(输出)等模块的Verilog HLD程序的编写(或者IP核调用)和仿真等工作;成功进行了开发板板级调试,调试的过程中充分利用Xilinx公司的开发板和调试软件ChipScope,成功设计了验证方案并进行了模块验证;最后进行了各模块联调工作,设计了系统验证方案并成功完成对整个系统的验证工作。 经测试表明,该系统主要性能达到国家相关标准GY/T 198-2003(有线数字电视广播QAM调制器技术要求和测量方法)规定的技术指标,可以进入样机试生产环节。

    标签: 有线数字电视 广播系统 信道编码

    上传时间: 2013-04-24

    上传用户:jiangfire

  • DVBT系统中内编解码模块的软件仿真

    数字电视按传输方式分为地面、卫星和有线三种。其中,DVB-S和DVB-C这两个全球化的卫星和有线传输方式标准,目前已作为世界统一标准被大多数国家所接受。而对于地面数字电视广播标准,经国际电讯联盟(ITU)批准的共有三个,包括欧盟的DVB-T(Digital Video Broadcasting-Terrestrial,数字视频地面广播)标准、美国的ATSC(Advanced Television System Committee,先进电视制式委员会)标准和日本的ISDB-T(Terrestrial Integrated Services DigitalBroadcasting,综合业务数字广播)标准。综合比较起来,欧洲的DVB-T标准在技术及应用实践上都更加成熟。 本论文首先介绍了DVB-T系统的主要结构,针对DVB-T标准中各模块的实现进行了阐述,并根据发射机端各个模块讨论了接收机端相关模块的算法设计。 随后,论文给出了基于Microsoft Visual Studio 2005平台实现的数字电视基带信号产生与接收的软件仿真系统的总体设计流程,重点讨论了内编解码器和内交织/解交织器的算法与实现,并在实现的多参数可选的数字电视基带信号产生与接收软件仿真平台上,重点分析了内编/解码模块在接收端Viterbi译码算法中采用硬判决、简化软判决以及不同调制方式时对DVB-T系统整体性能的影响。 最后,论文讨论了内码译码算法的实现改进,使得Viterbi译码更适合在FPGA上实现,同时针对逻辑设计进行优化以便节省硬件资源。论文重点讨论了对幸存路径信息存储译码模块的改进,比较了此模块三种不同的实现方式带来的硬件速率和资源的优劣,通过利用4块RAM对幸存路径信息的交互读写,完成了对传统回溯算法的改进,实现了加窗回溯的译码输出,同时实现了回溯长度可配置以实现系统不同的性能要求。

    标签: DVBT 模块 编解码

    上传时间: 2013-08-02

    上传用户:远远ssad

  • 基于FPGA的数字视频监控系统的研究

    随着社会、科技、经济的不断发展,视频监控技术因其具有直观、方便、信息内容丰富等特点以及广阔的应用范围,一直受到业界的广泛关注。而随着光纤通信技术的迅速发展,利用光纤通信技术实现视频监控系统的设计已成为视频监控技术发展的一个潮流。    本课题探究的数字视频监控系统支持八路视频信号和反向数据信号的实时传输,系统主要分为视频发送端和视频接收端两部分。系统视频发送端主要包括视频处理模块、反向数据处理模块、FPGA主控处理模块、光收发一体模块,其中FPGA主控处理模块实现的主要功能是系统视频信号传输中视频一次复接处理以及反向数据传输中数据接收和线路解码处理等。系统视频接收端与视频发送端的结构是对应的,主要功能模块同样包括视频处理模块、反向数据处理模块、FPGA主控处理模块、光收发一体模块,其中FPGA主控处理模块实现的主要功能是系统视频信号传输中视频二次分接处理以及反向数据传输中数据线路编码和发送处理等。    本论文的研究重点是八路视频信号传输中数字复分接的设计和反向数据信号传输中线路码的编解码设计。论文首先对课题研究的数字视频监控系统的总体设计进行了详细的介绍,给出了各个功能模块电路的具体实现设计方案;其次认真分析了视频监控系统八路视频信号传输中数字复分接的基本原理和实现方式,讨论了系统视频信号传输中数字复分接的设计思想及实现方案,给出了视频信号复分接的程序设计与仿真验证;最后详细阐述了视频监控系统反向数据信号传输中线路码的选择及实现方式,结合数据光纤传输的性能特点,选用CMI码作为反向数据传输的线路码型,讨论了系统反向数据信号传输中CMI编解码的设计思路及实现方案,给出了数据信号CMI编解码的程序设计与仿真验证。    论文的关键部分主要是FPGA主控处理模块的程序设计,利用VHDL硬件描述语言完成视频数字复分接和反向数据CMI编解码的程序设计,并在QuanusII软件开发平台下完成了系统的程序设计与仿真验证。

    标签: FPGA 数字视频 监控系统

    上传时间: 2013-05-31

    上传用户:fudong911

  • 算法FPGA实现的直接数字频率合成器

    高精度的信号源是各种测试和实验过程中不可缺少的工具,在通信、雷达、测量、控制、教学等领域应用十分广泛。传统的频率合成方法设计的信号源在功能、精度、成本等方面均存在缺陷和不足,不能满足电子技术的发展要求,直接数字合成(Direct Digital Synthesis)DDS技术可以提供高性能、高频高精度的信号源,方便地获得分辨率高且相位连续的信号,基于FPGA的DDS技术提供了升级方便并且成本低廉的解决方案。    本文对DDS的基本原理和输出频谱特性进行理论分析,总结出杂散分布规律。同时以DDS的频谱分析为基础,给出了几种改善杂散的方法。本文结合相关文献资料采用傅立叶变换的方法对相位截断时DDS杂散信号的频谱特性进行了研究,得到了杂散分布的规律性结论,并应用在程序设计程中;DDS技术的实现依赖于高速、高性能的数字器件,本文将FPGA器件和DDS技术相结合,确定了FPGA器件的整体设计方案,详细说明了各个模块的功能和设计方法,并对其关键部分进行了优化设计,从而实现了波形发生器数字电路部分的功能。软件部分采用模块设计方法,十分方便调试。为了得到满足设计要求的模拟波形,本文还设计了幅度调节、D/A转换和低通滤波等外围硬件电路。    实验结果表明,本文设计的基于DDS技术的多波形信号源基本能够满足普通学生实验室的要求。

    标签: FPGA 算法 数字频率合成器

    上传时间: 2013-06-11

    上传用户:woshiayin

  • 数字信号处理的FPGA实现(第3版)

    主要是在FPGA平台上实现数字信号处理的各种基本模块,像滤波器等等

    标签: FPGA 数字信号处理

    上传时间: 2013-07-03

    上传用户:plsee