虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

可重构结构

  • 可并网三相光伏逆变装置的研究与设计.rar

    随着市场经济和现代化工业的发展,能源短缺和环境污染,已经成为制约人类社会健康发展的两大重要因素。新能源的开发与利用愈来愈受到重视,太阳能以其清洁环保、蕴藏丰富等优点逐步得到了开发利用。光伏逆变电源作为太阳能利用中主要的能量变换装置,是目前研究和发展的重要环节。 本课题研究的是可并网三相光伏逆变电源,以追求体积小、效率高、精度大、方便实用为目的,采用了DC—HFAC—DC—LFAC三级功率传输架构,设计中使用了SPWM技术、SVPWM技术、内高频环技术、DSP数字控制技术和数字锁相环技术等前沿实用技术。 直流DC—DC变换器采用内高频环技术,既实现了电气隔离又大大的减小了装置体积。这一部分本文不做涉及,本文所涉及的内容为本系统的DC—AC逆变电源部分,本论文的主要内容如下: 首先,分析了几种DC—AC逆变器的主电路拓扑结构,根据其优缺点与实际应用需要,选择三相四桥臂结构作为本文主电路结构,满足了电网负载的不平衡性。在选择了三相四桥臂结构的基础上,选取两种最新的SVM控制方法:基于三态滞环的瞬时空间电流相量控制法与二维空间矢量控制法,对两种方法作出详细分析比较,根据实用性原则,选取二维空间矢量控制法作为本文的控制方法。 其次,选取了主控芯片TI公司的TMS320F2812,电路中的功能尽量数字化实现,既控制了电路体积,又大大提高了系统的安全性与可靠性。设计了本系统的控制电路、驱动电路、缓冲电路、保护电路、滤波器电路等系统电路,本系统所有硬件电路均设计完毕。为了验证设计的正确性,大部分电路都用ORCAD—Pspice仿真软件进行仿真验证,小部分电路搭建实际电路,设计电路都能达到系统设计要求。 随后,简单介绍了DSP编程环境CCS。详细分析了SVPWM的工作原理,并给出二维空间矢量法在DSP中的实现方法。介绍几种MPPT方法,并选取本课题所选用的方法。 最后,给出系统仿真,分析了重点模块,得到了仿真结果。 关键词:光伏并网电源、空间矢量脉宽调制、内高频环、三相四桥臂

    标签: 并网 三相 光伏

    上传时间: 2013-05-19

    上传用户:520

  • 基于电力电子网络的变流系统研究.rar

    电力电子系统的集成化是现今电力电子技术发展的趋势,系统的模块化和标准化技术是目前电力电子领域的重要研究方向。研究基于电力电子网络的变流系统,对复杂电力电子装置的系统级集成具有重要意义,是电力电子系统集成技术的基本组成部分。本文从变流系统的功率流和信息流双重分布性的角度出发。对电力电子系统网络(Power Electronics System Network,PES—Net)的模型和变流系统的通信需求进行分析,提出实时电力电子系统网络(Real—time power electronics system network,RT—PES—Net);并对基于新网络的分布式控制及管理方案和模块化软件方案等内容进行系统的研究,提出基于栈操作的实时软件构建方案。本文的研究将为变流系统的控制结构和软件方案标准化提供参考和理论依据,为应用系统的集成提供解决方案。 复杂中大功率变流系统是网络化分布式控制系统的应用对象。首先,论文以复杂系统为研究对象,分析了应用系统的功率流和信息流在空间结构上的对偶关系和双重分布的特性;在电力电子集成模块(Power Electronics Building Blocks,PEBB)的基础上,研究了变流系统的网络化分布式控制方案,并得出系统组构的初步构想,总结出适合复杂电力电子系统集成的标准化理论。 接着,论文对电力电子网络模型进行了研究。分析了现有各类总线网络和目前用于电力电子应用系统的网络,从结构、速率和协议等各个方面将两类网络进行了系统的对比。明确了电力电子系统网络(PES—Net)的定义,分析并总结复杂电力电子实时系统所需网络必需具备的条件。根据现有网络技术背景,综合控制结构和网络需求,提出了电力电子系统网络(PES—Net)的模型。 为满足变流系统的实时控制,论文对分布式控制结构的通信需求进行了研究。以网络控制系统(Networked Control System,NCS)为背景,对变流器系统控制信息延时因素进行了分析;通过对典型电力电予系统的分析,归纳和总结了系统的控制功能和控制内容,对系统不同层次的控制任务进行了响应时间需求分析和网络的分层配置;通过对仿真结果的分析,研究了应用系统内模块控制信息延时对不同应用系统的性能影响和对开关频率的限制。根据变流系统对控制延时的接受程度,将电力电子复杂系统归为两大类:1)零延时系统;2)定延时系统。针对上述两类系统,论文给出了电力电子网络(PES—Net)的通道容量和应用系统开关周期的计算方法。 论文对开放式、分布式的电力电子系统网络(PES—Net)的硬件组成和同步方案进行了研究,提出新的实时网络和系统级集成方案。根据主节点和从节点的控制任务需求,分别从功能和系统结构的角度对开放式网络的硬件构成进行研究;根据控制系统的接口需求分析,对节点的通用性设计进行重点讨论。针对网络的同步问题,本文分析了简单有效的解决方法,即基于数据结构的同步补偿方案;此外,论文提出基于实时高速电力电子系统同络(RT-PES-Net)的同步方案,研究适合变流器实时控制的网络结构和相应的硬件配置。根据应用控制和通信系统所需的各种操作,论文对实时网络的管理进行了讨论,研究了信息帧管理和相应的硬件设置,并对各种工作模式下所需的通信时间进行了计算和比较。基于实时网络系统及其管理方案,论文给出了组构以PEBB为基础的变流系统的方案。 论文对基于RT-PES-Net的模块化软件方案进行了研究。首先,将控制软件与功率硬件进行解耦,使得软件设计与硬件部分分离。在分析电力电子软件特性的前提下,论文提出基于栈操作的模块化软件方案,增加子程序实时构件的内聚性;对软件模块化的通用性进行研究,分析模块接口参数和变量的申明和配置,并研究参数的定标,对构件进行分类;分析子程序实时构件在执行速度上的优点。论文对电力电子系统控制软件(Powerr Electronics System Control Software,PES-CS)的组构和集成进行研究,简化软件主框架。 最后,论文分别对RT-PES-Net和模块化软件方案进行了相应的实验研究和分析。论文对提出的实时电力电子系统网络(RT-PES-Net)进行了通信实验,将新网络拓扑对变流系统的延时影响与旧网络系统的延时影响进行比较,总结新网络系统在控制实时性、提高开关频率、网络可扩展性和管理灵活度等方面的优势。论文针对RT-PES-Net进行应用研究,验证该网络可解决网络通信失步所造成的问题。论文对基于通用型实时构件和栈操作的模块化软件方案进行实验验证,为标准化软件库的建立和系统级集成提供参考方案。 网络化的控制结构研究是复杂电力电子系统级集成研究的关键。本课题针对复杂变流系统提出了实时电力电子系统网络(RT-PES-Net),并以该网络为基础对分布式控制结构及相应的网络化管理方案和模块化软件方案展开一系列研究,为电力电子控制系统提供标准化、开放式的网络参考体系,并以此结构来快速构建终端复杂变流系统,为实现标准的应用系统组构提供参考方案,有助于解决电力电子标准化推广所面临的难题。论文为应用系统的即插即用和动态重构提供了研究基础,从而为最终实现复杂变流器的应用系统级集成提供系统化的理论和方法依据。同时,论文的研究开拓了电力电子系统集成和标准化研究的一个新方向。

    标签: 电力电子 网络 系统研究

    上传时间: 2013-06-15

    上传用户:silenthink

  • FPGA中多标准可编程IO端口的设计.rar

    现场可编程门阵列(FPGA,Field Programmable Gate Array)是可编程逻辑器件的一种,它的出现是随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(ASIC,Application Specific Integrated Circuit).芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中。现在,FPGA已广泛地运用于通信领域、消费类电子和车用电子。 本文中涉及的I/O端口模块是FPGA中最主要的几个大模块之一,它的主要作用是提供封装引脚到CLB之间的接口,将外部信号引入FPGA内部进行逻辑功能的实现并把结果输出给外部电路,并且根据需要可以进行配置来支持多种不同的接口标准。FPGA允许使用者通过不同编程来配置实现各种逻辑功能,在IO端口中它可以通过选择配置方式来兼容不同信号标准的I/O缓冲器电路。总体而言,可选的I/O资源的特性包括:IO标准的选择、输出驱动能力的编程控制、摆率选择、输入延迟和维持时间控制等。 本文是关于FPGA中多标准兼容可编程输入输出电路(Input/Output Block)的设计和实现,该课题是成都华微电子系统有限公司FPGA大项目中的一子项,目的为在更新的工艺水平上设计出能够兼容单端标准的I/O电路模块;同时针对以前设计的I/O模块不支持双端标准的缺点,要求新的电路模块中扩展出双端标准的部分。文中以低压双端差分标准(LVDS)为代表构建双端标准收发转换电路,与单端标准比较,LVDS具有很多优点: (1)LVDS传输的信号摆幅小,从而功耗低,一般差分线上电流不超过4mA,负载阻抗为100Ω。这一特征使它适合做并行数据传输。 (2)LVDS信号摆幅小,从而使得该结构可以在2.5V的低电压下工作。 (3)LVDS输入单端信号电压可以从0V到2.4V变化,单端信号摆幅为400mV,这样允许输入共模电压从0.2V到2.2V范围内变化,也就是说LVDS允许收发两端地电势有±1V的落差。 本文采用0.18μm1.8V/3.3V混合工艺,辅助Xilinx公司FPGA开发软件ISE,设计完成了可以用于Virtex系列各低端型号FPGA的IOB结构,它有灵活的可配置性和出色的适应能力,能支持大量的I/O标准,其中包括单端标准,也包括双端标准如LVDS等。它具有适应性的优点、可选的特性和考虑到被文件描述的硬件结构特征,这些特点可以改进和简化系统级的设计,为最终的产品设计和生产打下基础。设计中对包括20种IO标准在内的各电器参数按照用户手册描述进行仿真验证,性能参数已达到预期标准。

    标签: FPGA 标准 可编程

    上传时间: 2013-05-15

    上传用户:shawvi

  • 基于FPGA的实时图像采集与处理系统研究.rar

    随着数码技术的不断发展,数字图像处理的应用领域不断扩大,其实时处理技术成为研究的热点。VLSI技术的迅猛发展为数字图像实时处理技术提供了硬件基础。其中FPGA(现场可编程门阵列)的特点使其非常适用于进行一些基于像素级的图像处理。 传统的图像显示系统必须连接到PC才能观察图像视频,存在着高速实时性、稳定性问题。本设计脱离高清晰工业相机必须与PC连接才可以观看到高清晰图像的束缚,实现系统的小型化。针对130万像素彩色1/2英寸镁光CMOS图像传感器,提出用硬件实现Bayer格式到RGB格式转换的设计方案,完成由黑白图像到高清彩色图像的转换,用SDRAM作缓存,输出标准VGA信号,可直接连接VGA显示器、投影仪等设备进行实时的视频图像观看,与模拟相机740X576分辨率(480线)图像相比,设计图像画质相当于1280X1024分辨率(750线),最高帧率25fps,整个结构应用FPGA作为主控制器,用少量的缓存代替传统的大容量存储,加快了运算速率,减小了电路规模,满足图像实时处理的要求,使展现出来的视频图像得到质的飞跃。可以广泛应用于工业控制和远程监控等领域。 论文研究的重点是采用altera公司EP2C芯片前端驱动CMOS图像传感器,实时采集Bayer图像象素,分析研究CFA图像插值算法,实现了基于FPGA的实时线性插值算法,能够对输入是每像素8bit、分辨率为1280×1204的Bayer模式图像数据进行实时重构,输出彩色RGB图像。由端口FIFO作为数据缓冲,存储一帧图像到高速SDRAM,构建VGA显示控制器,实现对输入是每像素24bit(RGB101010)、分辨率为640×480、帧频25HZ彩色图像进行实时显示。 整个模块结构包括电源模块单元等、CMOS成像单元、FPGA数据处理单元、SDRAM控制单元、VGA显示接口单元。 最后,对系统进行了调试。经实验验证,系统达到了实时性,能正确和可靠的工作。整个设计模块能够满足高帧率和高清晰的实时图像处理,占用系统资源很少,用较少的时间完成了图像数据的转换,提高了效率。

    标签: FPGA 实时图像采集 与处理系统

    上传时间: 2013-06-08

    上传用户:zhengjian

  • FPGA可配置端口电路的设计.rar

    可配置端口电路是FPGA芯片与外围电路连接关键的枢纽,它有诸多功能:芯片与芯片在数据上的传递(包括对输入信号的采集和输出信号输出),电压之间的转换,对外围芯片的驱动,完成对芯片的测试功能以及对芯片电路保护等。 本文采用了自顶向下和自下向上的设计方法,依据可配置端口电路能实现的功能和工作原理,运用Cadence的设计软件,结合华润上华0.5μm的工艺库,设计了一款性能、时序、功耗在整体上不亚于xilinx4006e[8]的端口电路。主要研究以下几个方面的内容: 1.基于端口电路信号寄存器的采集和输出方式,本论文设计的端口电路可以通过配置将它设置成单沿或者双沿的触发方式[7],并完成了Verilog XL和Hspiee的功能和时序仿真,且建立时间小于5ns和保持时间在0ns左右。和xilinx4006e[8]相比较满足设计的要求。 2.基于TAP Controller的工作原理及它对16种状态机转换的控制,对16种状态机的转换完成了行为级描述和实现了捕获、移位、输出、更新等主要功能仿真。 3.基于边界扫描电路是对触发器级联的构架这一特点,设计了一款边界扫描电路,并运用Verilog XL和Hspiee对它进行了功能和时序的仿真。达到对芯片电路测试设计的要求。 4.对于端口电路来讲,有时需要将从CLB中的输出数据实现异或、同或、与以及或的功能,为此本文采用二次函数输出的电路结构来实现以上的功能,并运用Verilog XL和Hspiee对它进行了功能和时序的仿真。满足设计要求。 5.对于0.5μm的工艺而言,输入端口的电压通常是3.3V和5V,为此根据设置不同的上、下MOS管尺寸来调整电路的中点电压,将端口电路设计成3.3V和5V兼容的电路,通过仿真性能上已完全达到这一要求。此外,在输入端口处加上扩散电阻R和电容C组成噪声滤波电路,这个电路能有效地抑制加到输入端上的白噪声型噪声电压[2]。 6.在噪声和延时不影响电路正常工作的范围内,具有三态控制和驱动大负载的功能。通过对管子尺寸的大小设置和驱动大小的仿真表明:在实现TTL高电平输出时,最大的驱动电流达到170mA,而对应的xilinx4006e的TTL高电平最大驱动电流为140mA[8];同样,在实现CMOS高电平最大驱动电流达到200mA,而xilinx4006e的CMOS驱动电流达到170[8]mA。 7.与xilinx4006e端口电路相比,在延时和面积以及功耗略大的情况下,本论文研究设计的端口电路增加了双沿触发、将输出数据实现二次函数的输出方式、通过添加译码器将配置端口的数目减少的新的功能,且驱动能力更加强大。

    标签: FPGA 可配置 端口

    上传时间: 2013-07-20

    上传用户:顶得柱

  • 软件无线电中FIR滤波器的Matlab设计及FPGA实现.rar

    软件无线电作为一种新的无线通信概念和体制,近年来随着3G标准的提出,日益受到国内外相关通信厂商的重视。尤其是基于软件无线电和智能天线技术的TD-SCDMA作为通信史上第一个“中国标准”,有望扭转多年来我国移动通信制造业的被动局面,是实现信息产业腾飞的一个绝好机会。软件无线电使得通信体制具有很好的通用性、灵活性和可配置性,并使系统互联和升级变得容易。本文以软件无线电中的FIR滤波器为线索,贯穿了信号重构、多抽样率信号处理、积分梳状滤波器等理论分析,重点阐释了FIR滤波器的设计方法及滤波器的FPGA实现等技术问题。 本文首先针对软件无线电中的多抽样率信号处理理论进行了讨论和分析。讨论了软件无线电中如何实现整数倍抽取、整数倍内插、分数倍抽样率变换,并分析了网络结构的等效变换、多相滤波及积分梳状滤波器的设计理论。 紧接着重点阐述了软件无线电中FIR滤波器的设计理论,包括窗函数法、频率抽样法及等纹波法。分析了各种设计方法所能达到的性能指标及优缺点,并结合工程实例给出了相关的Matlab程序。并对FIR滤波器结构的选择及系数字长的确定等问题进行了分析。此外,也介绍了在Matlab进行辅助设计时一些常用函数和命令的用法。 本文选用FPGA来实现中频软件无线电,FPGA与参数化ASIC、DSP比较有很多优势,它不但在功耗、体积、成本方面优于参数化ASIC、DSP,而且处理效率高、现场可编程性能良好。不同于DSP的单流处理方式,FPGA是多流并行处理,这种处理方式使FPGA能完成DSP难以实现的许多功能。在简单介绍了FPGA的一般原理,以及FPGA设计中的关键技术和在信号处理中的设计原则以后,重点介绍了FIR滤波器的FPGA实现方法。提出了分布式算法、加法器网络法以及分段FIFO等实现方法。最后,提出了一种QuartusII与MATLAB联合仿真的方法。此方法能够直观的检验滤波器的滤波效果,提高设计效率。并结合工程实例详尽的介绍了FIR滤波器的设计开发流程。

    标签: Matlab FPGA FIR

    上传时间: 2013-04-24

    上传用户:gengxiaochao

  • 开关磁阻电机的新型齿极结构及自组织模糊控制

    开关磁阻电机驱动系统(SRD)是一种新型交流驱动系统,以结构简单、坚固耐用、成本低廉、控制参数多、控制方法灵活、可得到各种所需的机械特性,而备受瞩目,应用日益广泛.并且SRD在宽广的调速范围内均具有较高的效率,这一点是其它调速系统所不可比拟的.但开关磁阻电机(SRM)的振动与噪声比较大,这影响了SRD在许多领域的应用.本文针对上述问题进行了研究,提出了一种新型齿极结构,可有效降低开关磁阻电机的振动与噪声.通过电磁场有限元计算可看出,在新型齿极结构下,导致开关磁阻电机振动与噪声的径向力大为减小,尤其是当转子极相对定子极位于关断位置时,径向力大幅度地减小,并改善了径向力沿定子圆周的分布,使其波动减小,从而减小了定子铁心的变形与振动,进而降低了开关磁阻电机的噪声.静态转矩因转子极开槽也略微减小,但对电机的效率影响不大.开关磁阻电机因磁路的饱和导致参数的非线性,又因在不同控制方式下是变结构的.这使得开关磁阻电机的控制非常困难.经典的线性控制方法如PI、PID等方法用于开关磁阻电机的控制,效果不好.其它的控制方法如滑模变结构控制、状态空间控制方法等可取得较好的控制效果但大都比较复杂,实现起来比较困难.而智能控制方法如模糊控制本身为一种非线性控制方法,对于非线性、变结构、时变的被控对象均可取得较好的控制效果且不需知道被控对象的数学模型,这对于很难精确建模的开关磁阻电机来说尤其适用.同时,模糊控制实现比较容易.但对于变参数、变结构的开关磁阻电机来说固定参数的模糊控制在不同条件下其控制效果难以达到最优.为取得最优的控制效果,该文采用带修正因子的自组织模糊控制器,采用单纯形加速优化算法通过在线调整参数,达到了较好的控制效果.仿真结果证明了这一点.

    标签: 开关磁阻电机 自组织 模糊控制

    上传时间: 2013-05-16

    上传用户:大三三

  • 基于ARM的设施农业网络型可编程自动控制系统

    我国是世界上设施农业面积最大的国家,设施面积占世界总面积的70-80%。目前国内设施温室应用的主要环境参数采控系统大多为进口产品,这些产品技术含量高,采控效果好,但相对价格较高,通常适用于现代化的大型或高档连栋温室。少数国产品牌无论技术水平还是采控效果均不甚理想,尤其缺少能够适用于我国常见的中小型日光温室的低成本智能采集控制装置。本文基于国家高技术研究发展计划(863计划)课题“设施农业精准生产技术系统构建与应用”,对设施温室环境和生物信息数据采集、传输、备份、调控问题进行了研究。 论文分析了目前国内中小型日光温室环境监控需求,提出并实现了一套网络型设施农业日光温室智能控制系统从硬件到软件的完整方案。主要研究工作如下: (1) 开发了面向常用环境信息传感器和生物信息传感器的数据采集模块,该数据采集模块具有可定制、可扩展的特点。 (2) 开发了基于CF卡的数据备份及存储模块,为实现现场数据的大容量存储和本地化自主控制提供了基础。 (3) 构建了传感器数据的局域传输网络和以太网络接口,满足了节点环境参数及视频信息宽带传输与温室集中监控的需要。 (4) 开发了面向中小型日光温室的可扩展核心设备管理模块,实现了在决策服务器支持下的环境参数本地自主调控。 (5) 移植了嵌入式操作系统、开发了设备驱动程序,使用户可以灵活方便地调用板载设备进行系统的二次定制开发。 (6) 对系统软件、硬件进行了模拟调试和现场实验,验证了系统在设施温室环境采控中的各项功能。 论文结构如下:首先分析了课题的研究背景、意义、研究现状和相应关键技术;然后在温室控制的需求分析上提出了智能控制系统的方案;接着给出了智能PAC系统子/主节点的硬件设计及实现,给出了基于U-BOOT与uClinux的智能PAC系统软件设计和驱动开发;其次设计了实验平台对智能PAC系统进行仿真调试和现场实验。论文最后展望了我国设施农业温室环境监控的发展。 现场实验表明,该智能PAC系统解决了日光温室环境和生物信息数据采集、传输、备份问题,并且具有可定制化、可编程、运行稳定可靠的特点,达到了预期的设计要求。

    标签: ARM 设施农业 网络 可编程

    上传时间: 2013-04-24

    上传用户:qw12

  • 基于ARM和WinCE的电台可视化人机交互接口的设计与实现

    本文以正在研制的某新型电台为应用背景,研究在电台上使用触摸屏的硬件设计方法和软件实现途径。 触摸屏是人机交互发展的方向。目前已在多种领域得到了广泛地应用,而使用触摸屏代替按键在无线电台上实现人机交互功能目前尚不多见。在无线电台上使用触摸屏可以尽可能地减少电台的体积,同时采用常见的Windows风格的操作系统,可以使电台不仅易于携带,也更便于操作。 本文的研究是基于ARM的硬件平台和Windows CE的软件平台。硬件平台的内核模块采用ARM920T核的S3C2410嵌入式处理器,外部包含64M的SDRAM和64M的NAND Flash;硬件平台还集成了LCD,触摸屏等人机接口,同时提供了USB主控制器接口、SD卡扩展接口和RS232接口。平台技术先进,结构合理,功能较完备,整体性、可扩充性强。 在此硬件平台的基础上,本文深入分析了基于Windows CE软件平台的构建,对应用开发所涉及工具软件作了介绍,并依据应用开发的需要定制了Windows CE内核。本文对LCD、触摸屏和USB的驱动程序作了深入研究,并在此基础上初步涉及了Windows CE应用程序开发,实现了电台操作界面,实现了基本的数据录入与输出功能。

    标签: WinCE ARM 电台 可视化

    上传时间: 2013-07-26

    上传用户:fandeshun

  • 基于FPGA的精简指令集计算机的研究与开发

    大规模可编程逻辑器件CPLD和FPGA是当今应用最广泛的两类可编程专用集成电路(ASIC),电子设计工程师用它可以在办公室或实验室里设计出所需的专用集成电路,从而大大缩短了产品上市时间,降低了开发成本.此外,可编程逻辑器件还具有静态可重复编程和动态系统重构的特性,使得硬件的功能可以象软件一样通过编程来修改,这样就极大地提高了电子系统设计的灵活性和通用性.该设计完成了在一片可编程逻辑器件上开发简易计算机的设计任务,将单片机与单片机外围电路集成化,能够输入指令、执行指令、输出结果,具有在电子系统中应用的普遍意义,另外,也可以用于计算机组成原理的教学试验.该文第一章简要介绍了可编程ASIC和EDA技术的历史、现状、未来并对本课题作了简要陈述.第二章在芯片设计的两种输入法即原理图输入法和HDL输入法之间做出比较,决定选用HDL输入法.第三章描述了具体的设计过程和设计手段,首先将简易计算机划分为运算器、CPU控制器、存储器、键盘接口和显示接口以及系统控制器,然后再往下分为下层子模块.输入法的语言使用的是Verilog HDL,鉴于篇幅所限,源代码部分不在论文之中.第四章对设计的综合与实现做了总结,给出了时序仿真波形图.该文针对FPGA和RISC这两大课题,对RISC在FPGA上的实现进行了初浅的探索与尝试.从计算机体系结构入手,剖析了精简指令集计算机的原理,通过该设计的实践对ASIC和EDA的设计潜力有了更进一步的领悟.

    标签: FPGA 指令集 计算机

    上传时间: 2013-05-21

    上传用户:hewenzhi