虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

优化分析

  • 基于相关分析的飞机目标识别方法

    提出了一种基于相关分析的飞机目标识别方法。该方法利用飞机图像低频和高频部分合成滤波器模板,能达到很高识别率与很低的等错率。该研究旨在提高飞机识别的准确率和降低出错率,采用一种基于相关分析的飞机目标识别方法。该方法通过对采集的飞机图像做去除背景、降噪、图像增强、二值化和归一化处理,将飞机图像低频和高频部分合成滤波器模板,通过特征比对达到识别飞机的目的。利用Matlab 7.0做10种飞机的识别实验,得出了95.47%识别率和0.04%等错率的结论,识别率和等错率均优于不变矩法、三维识别方法、基于小波分析和矩不变量的方法,印证了笔者提出的基于相关分析的飞机目标识别方法的优越性。在飞机图像数据库上的实验结果表明,该方法是可行的。

    标签: 飞机 目标识别

    上传时间: 2013-11-03

    上传用户:manlian

  • 揭密PROTEL DXP软件的PCB设计技巧

    Protel DXP 是第一个将所有设计工具集于一身的板级设计系统,电子设计者从最初的项目模块规划到最终形成生产数据都可以按照自己的设计方式实现。Protel DXP 运行在优化的设计浏览器平台上,并且具备当今所有先进的设计特点,能够处理各种复杂的 PCB设计过程。Protel DXP 作为一款新推出的电路设计软件,在前版本的基础上增加了许多新的功能。新的可定制设计环境功能包括双显示器支持,可固定、浮动以及弹出面板,强大的过滤及增强的用户界面等。通过设计输入仿真、PCB 绘制编辑、拓扑自动布线、信号完整性分析和设计输出等技术融合,Protel DXP 提供了全面的设计解决方案。    PCB电路板设计的一般原则包括: 电路板的选用、电路板尺寸、元件布局、布线、焊盘、

    标签: PROTEL DXP PCB 软件

    上传时间: 2013-10-22

    上传用户:909000580

  • Mentor Expedtion PCB信号完整性分析入门

      本练习将通过 PCB 布局,布线,信号完整性仿真分析,修改原理图添加器件等一系列的操作,使您熟悉Mentor ISD2004 系列板级仿真设计工具。

    标签: Expedtion Mentor PCB 信号完整性

    上传时间: 2013-11-06

    上传用户:非洲之星

  • 黑魔书-信号完整性分析

    信号完整性分析

    标签: 黑魔 信号完整性

    上传时间: 2013-11-17

    上传用户:xingyuewubian

  • 开关电源完整的EMI和热设计 黑魔书-信号完整性分析

    PCB相关技术,信号完整性分析,EMI和热设计

    标签: EMI 开关 信号完整性

    上传时间: 2014-12-24

    上传用户:磊子226

  • JUKI程序编制及优化

    SMT贴片机程序编辑优化

    标签: JUKI 程序 编制

    上传时间: 2013-11-11

    上传用户:fnhhs

  • DAC34H84 HD2 性能优化与PCB布局建议

    DAC34H84 是一款由德州仪器(TI)推出的四通道、16 比特、采样1.25GSPS、功耗1.4W 高性能的数模转换器。支持625MSPS 的数据率,可用于宽带与多通道系统的基站收发信机。由于无线通信技术的高速发展与各设备商基站射频拉远单元(RRU/RRH)多种制式平台化的要求,目前收发信机单板支持的发射信号频谱越来越宽,而中频频率一般没有相应提高,所以中频发射DAC 发出中频(IF)信号的二次谐波(HD2)或中频与采样频率Fs 混叠产生的信号(Fs-2*IF)离主信号也越来越近,因此这些非线性杂散越来越难被外部模拟滤波器滤除。这些子进行pcb设计布局,能取得较好的信号完整性效果,可以在pcb打样后,更放心。这些杂散信号会降低发射机的SFDR 性能,优化DAC 输出的二次谐波性能也就变得越来越重要。

    标签: DAC 34H H84 HD2

    上传时间: 2013-10-23

    上传用户:lalalal

  • 常用PCB基材性能分析-FR4

    常用PCB基材性能分析

    标签: PCB FR 基材 性能分析

    上传时间: 2013-11-15

    上传用户:冇尾飞铊

  • PCB板常见按故障分析

    PCB板常见按故障分析

    标签: PCB 故障分析

    上传时间: 2013-11-23

    上传用户:410805624

  • 可编辑程逻辑及IC开发领域的EDA工具介绍

    EDA (Electronic Design Automation)即“电子设计自动化”,是指以计算机为工作平台,以EDA软件为开发环境,以硬件描述语言为设计语言,以可编程器件PLD为实验载体(包括CPLD、FPGA、EPLD等),以集成电路芯片为目标器件的电子产品自动化设计过程。“工欲善其事,必先利其器”,因此,EDA工具在电子系统设计中所占的份量越来越高。下面就介绍一些目前较为流行的EDA工具软件。 PLD 及IC设计开发领域的EDA工具,一般至少要包含仿真器(Simulator)、综合器(Synthesizer)和配置器(Place and Routing, P&R)等几个特殊的软件包中的一个或多个,因此这一领域的EDA工具就不包括Protel、PSpice、Ewb等原理图和PCB板设计及电路仿真软件。目前流行的EDA工具软件有两种分类方法:一种是按公司类别进行分类,另一种是按功能进行划分。 若按公司类别分,大体可分两类:一类是EDA 专业软件公司,业内最著名的三家公司是Cadence、Synopsys和Mentor Graphics;另一类是PLD器件厂商为了销售其产品而开发的EDA工具,较著名的公司有Altera、Xilinx、lattice等。前者独立于半导体器件厂商,具有良好的标准化和兼容性,适合于学术研究单位使用,但系统复杂、难于掌握且价格昂贵;后者能针对自己器件的工艺特点作出优化设计,提高资源利用率,降低功耗,改善性能,比较适合产品开发单位使用。 若按功能分,大体可以分为以下三类。 (1) 集成的PLD/FPGA开发环境 由半导体公司提供,基本上可以完成从设计输入(原理图或HDL)→仿真→综合→布线→下载到器件等囊括所有PLD开发流程的所有工作。如Altera公司的MaxplusⅡ、QuartusⅡ,Xilinx公司的ISE,Lattice公司的 ispDesignExpert等。其优势是功能全集成化,可以加快动态调试,缩短开发周期;缺点是在综合和仿真环节与专业的软件相比,都不是非常优秀的。 (2) 综合类 这类软件的功能是对设计输入进行逻辑分析、综合和优化,将硬件描述语句(通常是系统级的行为描述语句)翻译成最基本的与或非门的连接关系(网表),导出给PLD/FPGA厂家的软件进行布局和布线。为了优化结果,在进行较复杂的设计时,基本上都使用这些专业的逻辑综合软件,而不采用厂家提供的集成PLD/FPGA开发工具。如Synplicity公司的Synplify、Synopsys公司的FPGAexpress、FPGA Compiler Ⅱ等。 (3) 仿真类 这类软件的功能是对设计进行模拟仿真,包括布局布线(P&R)前的“功能仿真”(也叫“前仿真”)和P&R后的包含了门延时、线延时等的“时序仿真”(也叫“后仿真”)。复杂一些的设计,一般需要使用这些专业的仿真软件。因为同样的设计输入,专业软件的仿真速度比集成环境的速度快得多。此类软件最著名的要算Model Technology公司的Modelsim,Cadence公司的NC-Verilog/NC-VHDL/NC-SIM等。 以上介绍了一些具代表性的EDA 工具软件。它们在性能上各有所长,有的综合优化能力突出,有的仿真模拟功能强,好在多数工具能相互兼容,具有互操作性。比如Altera公司的 QuartusII集成开发工具,就支持多种第三方的EDA软件,用户可以在QuartusII软件中通过设置直接调用Modelsim和 Synplify进行仿真和综合。 如果设计的硬件系统不是很大,对综合和仿真的要求不是很高,那么可以在一个集成的开发环境中完成整个设计流程。如果要进行复杂系统的设计,则常规的方法是多种EDA工具协调工作,集各家之所长来完成设计流程。

    标签: EDA 编辑 逻辑

    上传时间: 2013-11-19

    上传用户:wxqman