虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

xilinx-FPGA

  • 智能人脸识别算法及其FPGA的实现.rar

    人脸自动识别技术是模式识别、图像处理等学科的一个最热门研究课题之一。随着社会的发展,各方面对快速有效的自动身份验证的要求日益迫切,而人脸识别技术作为各种生物识别技术中最重要的方法之一,已经越来越多的受到重视。对于具有实时,快捷,低误识率的高性能算法以及对算法硬件加速的研究也逐渐展开。 本文详细分析了智能人脸识别算法原理,发展概况和前景,包括人脸检测算法,人眼定位算法,预处理算法,PCA和ICA 算法,详细分析了项目情况,系统划分,软硬件平台的资源和使用。并在ISE软件平台上,用硬件描述语言(verilog HDL)对算法部分严格按照FPGA代码风格进行了RTL 硬件建模,并对C++算法进行了优化处理,通过仿真与软件算法结果进行比对,评估误差,最后在VirtexII Pro FPGA 上进行了综合实现。 主要研究内容如下: 首先,对硬件平台xilinx的VirtexII Pro FPGA 上的系统资源进行了描述和研究,对存储器sdram,RS-232 串口,JTAG 进行了研究和调试,对Coreconnect的OPB总线仲裁机理进行了两种算法的比较,RTL 设计,仿真和综合。利用ISE和VC++软件平台,对verilog和C++算法进行同步比较测试,使每步算法对应正确的结果。对软硬件平台的合理使用使得在项目中能尽可能多的充分利用硬件资源,制板时正确选型,以及加快设计和调试进度。其次,对人脸识别算法流程中的人脸检测,人眼定位,预处理,识别算法分别进行了比较研究,选取其中各自性能最好的一种算法对其原理进行了分析讨论。人脸检测采用adaboost 算法,因其速度和精度的综合性能表现优异。人眼定位采用小块合并算法,因为它具有快速,准确,弱时实的特点。预处理算法采用直方图均衡加平滑的算法,简单,高效。 识别算法采用PCA 加ICA 算法,它能最大的弱化姿态和光照对人脸识别的影响。 最后,使用Verilog HDL 硬件描述语言进行算法的RTL 建模,在C++算法的基础上,保证原来效果的前提下,根据FPGA 硬件特点对算法进行了优化。视频输入输出是人脸识别的前提,它提供FPGA 上算法需要处理的数据,预处理算法在C++算法的基础上进行了优化,最大的减少了运算量,提高了运算速度,16 位计算器模块使得在算法实现时可以根据系统要求,在FPGA的ip 核和自己设计的模块之间选择性能更好的一个来调用,FIFO的设计提供同步和异步时钟域的数据缓存。设计在ISE和VC++软件平台同时进行,随时对verilog和C++数据进行监测和比对。全部设计模块通过仿真,达到预定的性能要求,并在FPGA 上综合实现。

    标签: FPGA 人脸识别 算法

    上传时间: 2013-07-13

    上传用户:李梦晗

  • 基于FPGA的PWMD类音频功率放大器的设计.rar

    数字D类音频放大器,也叫数字脉冲调制放大器,具有效率高,低电压,低失真的特点,在低成本,高性能的消费类产品特别是便携式设备中得到越来越广泛的应用。数字D类放大器包括数字脉冲宽度调制(PWM)和输出级(含低通滤波器)两个部分,数字PWM又包括两个部分,采样处理和脉冲产生。传统的采样处理算法运算复杂,硬件实现成本高,面积大,从而导致功耗也大,不适合当今向低功耗发展的趋势。 本文在传统算法的基础上提出了一种新的算法,该算法不包括乘法或者除法这些计算复杂和非常消耗硬件资源的单元,只含加法和减法运算。在推导出该算法的傅立叶表达式后,在MATLAB的simulink中建立系统模型进行仿真以验证算法的可行性,在输入信号频率为1kHZ,采样频率为48kHZ,电源电压为10V,输出负载为4Ω的条件下,得到的总谐波失真为0.12%,符合D类放大器的性能要求。本文还在基于Xilinx公司的Spartan-3系列FPGA的基础上实现了该算法的电路结构,综合结果表明,实现基于本文算法的数字D类音频系统所需要的硬件资源大大减少,从而减少了功耗。 关键词:D类放大器;脉冲宽度调制;采样算法;数字音频放大器;FPGA

    标签: FPGA PWMD 音频功率放大器

    上传时间: 2013-07-19

    上传用户:zhuoying119

  • 基于FPGA的高速串行接口模块仿真设计.rar

    现代社会信息量爆炸式增长,由于网络、多媒体等新技术的发展,用户对带宽和速度的需求快速增加。并行传输技术由于时钟抖动和偏移,以及PCB布线的困难,使得传输速率的进一步提升面临设计的极限;而高速串行通信技术凭借其带宽大、抗干扰性强和接口简单等优势,正迅速取代传统的并行技术,成为业界的主流。 本论文针对目前比较流行并且有很大发展潜力的两种高速串行接口电路——高速链路口和Rocket I/O进行研究,并以Xilinx公司最新款的Virtex-5 FPGA为研究平台进行仿真设计。本论文的主要工作是以某低成本相控阵雷达信号处理机为设计平台,在其中的一块信号处理板上,进行了基于LVDS(Low VoltageDifferential Signal)技术的高速LinkPort(链路口)设计和基于CML(Current ModeLogic)技术的Rocket I/O高速串行接口设计。首先在FPGA的软件中进行程序设计和功能、时序的仿真,当仿真验证通过之后,重点是在硬件平台上进行调试。硬件调试验证的方法是将DSP TS201的链路口功能与在FPGA中的模拟高速链路口相连接,进行数据的互相传送,接收和发送的数据相同,证明了高速链路口设计的正确性。并且在硬件调试时对Rocket IO GTP收发器进行回环设计,经过回环之后接收到的数据与发送的数据相同,证明了Rocket I/O高速串行接口设计的正确性。

    标签: FPGA 高速串行 接口模块

    上传时间: 2013-04-24

    上传用户:恋天使569

  • OFDM无线局域网关键技术的FPGA实现.rar

    无线局域网(WLAN)是未来移动通信系统的重要组成部分。由于摆脱了有线连接的束缚,无线局域网具有移动性好、成本低以及网络传输故障少等诸多优点,得到了越来越广泛的发展与应用。正交频分复用(OFDM)技术具有抗多径衰落,频谱利用率高等优点,特别适合于无线环境下的高速数据传输,是高速无线局域网的首选技术之一。从IEEE802.11a,IEEE802.11g到IEEE802.1n都是以OFDM为基础。随着OFDM技术的普及以及下一代通信技术对OFDM的青睐,研究与实现应用于无线局域网的OFDM关键技术具有一定的意义。 本文首先介绍了WLAN的基本概念及相关协议标准和OFDM系统的工作原理,并描述了基于IEEE802,11a和IEEE802.11n标准的OFDM系统的数据帧结构以及系统参数。文中对OFDM传输系统的关键算法进行了详细的研究。然后以Xilinx公司的ISE10.1为软件平台,利用VHDL描述的方式,并以FPGA(现场可编程门阵列)芯片SPARTAN-3E为硬件平台,研究实现了适用于IEEE802.11a和IEEE802.11n的64点16bits复数块浮点结构的FFT模块,(2,1,7)卷积编码和维特比译码模块,以及分组检测和符号定时模块,并进行了仿真、综合、下载验证等工作。

    标签: OFDM FPGA 无线局域网

    上传时间: 2013-06-25

    上传用户:cee16

  • 基于FPGA的SCI串行通信接口的研究与实现.rar

    国家863项目“飞行控制计算机系统FC通信卡研制”的任务是研究设计符合CPCI总线标准的FC通信卡。本课题是这个项目的进一步引伸,用于设计SCI串行通信接口,以实现环上多计算机系统间的高速串行通信。 本文以此项目为背景,对基于FPGA的SCI串行通信接口进行研究与实现。论文先概述SCI协议,接着对SCI串行通信接口的两个模块:SCI节点模型模块和CPCI总线接口模块的功能和实现进行了详细的论述。 SCI节模型包含Aurora收发模块、中断进程、旁路FIFO、接受和发送存储器、地址解码、MUX。在SCI节点模型的实现上,利用FPGA内嵌的RocketIO高速串行收发器实现主机之间的高速串行通信,并利用Aurora IP核实现了Aurora链路层协议;设计一个同步FIFO实现旁路FIFO;利用FPGA上的块RAM实现发送和接收存储器;中断进程、地址解码和多路复合分别在控制逻辑中实现。 CPCI总线接口包括PCI核、PCI核的配置模块以及用户逻辑三个部分。本课题中,采用FPGA+PCI软核的方法来实现CPCI总线接口。PCI核作为PCI总线与用户逻辑之间的桥梁:PCI核的配置模块负责对PCI核进行配置,得到用户需要的PCI核;用户逻辑模块负责实现整个通信接口具体的内部逻辑功能;并引入中断机制来提高SCI通信接口与主机之间数据交换的速率。 设计选用硬件描述语言VerilogHDL和VHDL,在开发工具Xilinx ISE7.1中完成整个系统的设计、综合、布局布线,利用Modelsim进行功能及时序仿真,使用DriverWorks为SCI串行通信接口编写WinXP下的驱动程序,用VC++6.0编写相应的测试应用程序。最后,将FPGA设计下载到FC通信卡中运行,并利用ISE内嵌的ChipScope Pro虚拟逻辑分析仪对设计进行验证,运行结果正常。 文章最后分析传输性能上的原因,指出工作中的不足之处和需要进一步完善的地方。

    标签: FPGA SCI 串行通信接口

    上传时间: 2013-04-24

    上传用户:竺羽翎2222

  • WCDMA数字直放站中数字预失真研究及其FPGA实现.rar

    现代社会对各种无线通信业务的需求迅猛增长,这就要求无线通信在具有较高传输质量的同时,还必须具有较大的传输容量。这种需求要求在无线通信中必须采用效率较高的线性调制方式,以提高有限频带带宽的数据速率和频谱利用率,而效率较高的调制方式通常会对发端发射机的线性要求较高,这就使功率放大器线性化技术成为下一代无线通信系统的关键技术之一。 在本文中,研究了前人所提出的各种功放线性化技术,如功率回退法、正负反馈法、预失真和非线性器件法等等,针对功率放大器对信号的失真放大问题进行研究,对比和研究了目前广泛流行的自适应数字预失真算法。在一般的自适应数字预失真算法中,主要有两类:无记忆非线性预失真和有记忆非线性预失真。无记忆非线性预失真主要是通过比较功率放大器的反馈信号和已知输入信号的幅度和相位的误差来估计预失真器的各种修正参数。而有记忆非线性预失真主要是综合考虑功率放大器非线性和记忆性对信号的污染,需要同时分析信号的当前状态和历史状态。在对比完两种数字预失真算法之后,文章着重分析了有记忆预失真算法,选择了其中的多项式预失真算法进行了具体分析推演,并通过软件无线电的方法将数字信号处理与FPGA结合起来,在内嵌了System Generator软件的Matlab/Simulink上对该算法进行仿真分析,证明了这个算法的性能和有效性。 本文另外一个最重要的创新点在于,在FPGA设计上,使用了系统级设计的思路,与Xilinx公司提供的软件能够很好的配合,在完成仿真后能够直接将代码转换成FPGA的网表文件或者硬件描述语言,大大简化了开发过程,缩短了系统的开发周期。

    标签: WCDMA FPGA 数字

    上传时间: 2013-06-20

    上传用户:handless

  • 卫星导航接收机中长码直捕算法研究与FPGA实现.rar

    卫星导航定位系统可以为公路、铁路、空中和海上的交通运输工具提供导航定位服务。它能够军民两用,战略作用与商业利益并举。只要持有便携式接收机,则无论身处陆地、海上还是空中,都能收到卫星发出的特定信号。接收机选取至少四颗卫星发出的信号进行分析,就能确定接收机持有者的位置。 GPS导航定位接收机的理论基础即是扩频通信理论,扩频通信技术与常规的通信技术相比,具有低截获率,强抗噪声,抗干扰性,具有信息隐蔽和多址通信等特点,目前己从军事领域向民用领域迅速发展,成为进入信息时代的高新技术通信传输方式之一。扩频通信技术中,最常见的是直接序列扩频通信(DSSS)系统,本文所研究的就是这一类系统。 目前在卫星信号的捕获上一般使用两种方法:顺序捕获方法(时域法,基于大规模并行相关器)和并行捕获方法(频域法,基于FFT)。本文在第二章分别分析了现有顺序捕获和并行捕获技术的原理,并给出了它们的优缺点。 本文第三章对长码的直接捕获进行了深入的研究,基于对国内外相关文献中长码直捕方法的分析与对比,并且结合在实际过程中硬件资源需求的考虑,应用了基于分段补零循环相关和FFT搜索频偏的直捕方法。此方法大大减少了计算量,加快了信号捕获的速度。本方法利用FFT实现接收信号与本地长码的并行相关,同时完成频偏的搜索,将传统的二维搜索转换为并行的一维搜索,从而能快速实现长码捕获。 GPS信号十分微弱,灵敏度低,在战场环境下,GPS接收机会面临各种人为的干扰。如何从复杂的干扰信号中实现对GPS信号的捕获,即抗干扰技术的研究,是GPS也是本文研究一个的方面。第四章即研究了GPS接收机干扰抑制算法,在强干扰环境下,需要借助信号处理技术在不增加信号带宽的条件下提高系统的抗干扰能力,以保证后续捕获跟踪模块有充足的处理增益。 本文在第五章给出了GPS接收机长码捕获以及干扰抑制的FPGA实现方案,并对各主要子模块进行了详细地分析。基本型接收机中长码捕获采用频域方法,选用Altera StratixⅡ EP2S180芯片实现;抗干扰型接收机中选用Xilinx xc4vlx100芯片。实现了各模块的单独测试和整个系统的联调,通过联调验证,本文提出的长码直接捕获方法正确、可行。 本文提出的长码直捕方法可以在不需要C/A码辅助捕获下完成对长码的直接捕获,可以应用于GPS接收机,监测站接收机的同步等,对我国自主研发导航定位接收机也有重大的现实及经济意义。

    标签: FPGA 卫星导航 接收机

    上传时间: 2013-06-18

    上传用户:wang5829

  • 基于FPGA的10M100M以太网控制器的设计.rar

    随着以太网技术的不断发展,网络的传输速度已经由最初的10M发展到现在的10,000M。用可编程逻辑器件(FPGA)实现以太网控制器与其它SOC系统的互连成为当前的研究热点。本文阐述了MAC层的FPGA设计、仿真及测试;介绍了整个系统的内部结构、模块划分,并对各个模块的设计过程进行了详细阐述,接着介绍了开发环境和验证工具,同时给出测试方案、验证数据、实现结果及时序仿真波形图。 对MAC层的主要功能模块如:发送模块、接收模块、MAC流程控制模块、寄存器模块、MⅡ接口模块和主机接口模块以及CRC,CSMA/CD,HASH表等算法给出了基于FPGA及硬件描述语言的解决方法。 本课题针对以下三个方面进行了研究并取得一定的成果: 1)FPGA开发平台的硬件实现。选用Xilinx公司的XC3S1000-FT256-4-C和ATMEL公司的ARM9200作为测试的核心器件,采用LXT971芯片作为物理层芯片,AT91RM9200作为数据输入源和双blockram作为帧缓存搭建FPGA硬件验证开发平台。 2)基于FPGA实现以太网控制器。用VerilogHDL语言构建以太网控制器,实现CSMA/CD协议、10M/100M自适应以及与物理层MⅡ接口等。 3)采用片上系统通用的WS接口。目的是便于与具有通用接口的片上系统互连,也为构建SOC上处理器提供条件。 本论文实现了一个基于WS总线接口可裁减的以太网MAC控制器IP软核,为设计具有自主知识产权的以太网MAC控制器积累了经验。同时,为与其它WS接口的控制器实现直接互连创造了条件,对高层次设计这一先进ASIC设计方法也有了较为深入的认识。

    标签: 10M100M FPGA 以太网控制器

    上传时间: 2013-07-17

    上传用户:bruce

  • 基于FPGA的HDMI显示系统的设计与实现.rar

    伴随着多媒体显示和传输技术的发展,人们获得了越来越高的视听享受。从传统的模拟电视,到标清、高清、全高清。与显示技术发展结伴而行的是显示接口技术的发展,从模拟的AV端子,S-Video和VGA接口,到数字显示的DVI接口,技术上经历了一个从模拟到数字,从并行到串行,从低速到高速的发展过程。 HDMI是最新的高清晰度多媒体接口,它的规范由Silicon Image等七家公司提出,具有带宽大,尺寸小,传输距离长和支持正版保护等功能,符合当今技术的发展潮流,一经推出,就获得了巨大的成功。成为平板显示器、高清电视等设备的标准接口之一,并获得了越来越广泛的应用。 从上世纪80年代XILINX发明第一款FPGA芯片以来,FPGA就以其体系结构和逻辑单元灵活,运算速度快,编程方便等优点广泛应用与IC设计、系统控制、视频处理、通信系统、航空航天等诸多方面。 本文利用ALTERA的一款高端FPGA芯片EP2S180F1508C3为核心,配合Silicon Image的专用HDMI接收芯片搭建了一个HDMI的接收显示平台。针对HDMI带宽宽,数据量大的特点,使用了新型的DDR2 SDRAM作为视频信号的输入和输出缓冲。在硬件板级设计上,针对HDMI和DDR2的相关高速电路,采用了一系列的高速电路设计方法,有效的避免了信号的反射,串扰等不良现象。同时在对HDMI规范和DDR2 SDRAM时序规范的深入研究的基础上,在ALTERA的开发平台QUARTUSII上编写了系统的顶层模块和相关各功能子模块,并仿真通过。 论文的主要工作和创新点表现在以下几个方面: 1、论文研究了最新的HDMI接口规范和新型存储器件DDR2的时序规范。 2、论文搭建的整个系统相当庞大,涉及到相关的规范、多种芯片的资料、各种工具软件的使用、原理图的绘制和PCB板的布局布线,直至后期的编程仿真,花费了作者大量的时间和精力。 3、论文首次使用FPGA来处理HDMI信号且直接驱动显示器件,区别于-般的ASIC方案。 4、论文对高速电路特别是的DDR2布局布线,采用了一系列的专门措施,具有一定的借鉴价值。

    标签: FPGA HDMI 显示系统

    上传时间: 2013-07-28

    上传用户:xiaoxiang

  • WCDMA下行链路同步的研究和FPGA实现.rar

    同步技术在许多通讯系统中都是至关重要的,而WCDMA作为第三代移动通信的标准之一,对其同步算法进行研究是非常必要的。FPGA在许多硬件实现中充当了很重要的角色,所以研究如何在FPGA上实现同步算法是非常具有实际意义的。 本文讨论了三步小区搜索的算法,仿真了其性能,并且对如何进行算法的FPGA移植展开了深入的讨论。 本文对三步小区搜索的算法按照算法计算量和运算速度的标准分别进行了比较和讨论,并以节省资源和运行稳定为前提进行了FPGA移植。最终在主同步中提出了改进型的PSC匹配滤波器算法,在FPGA上提出了采用指针型双口RAM的实现方式;在辅同步中提出了改进型PFHT算法并采用查表遍历算法判决,在FPGA上提出了用综合型逻辑方式来实现;在导频同步中采用了移位寄存器式扰码生成算法,并引入了计分制判决算法。 与以往的WCDMA同步的FPGA实现相比,本文提出的实现方案巧妙地利用了FPGA的并行运算结构,在XILINX的V4芯片上只用了500个slice就完成了整个小区搜索,最大限度地节省了资源,为小区搜索在FPGA中的模块小型化提供了途径。

    标签: WCDMA FPGA 下行链路

    上传时间: 2013-08-05

    上传用户:leileiq