虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

xilinx-FPGA-Matlab-Simulate

  • 基于Xilinx FPGA的双输出DC/DC转换器解决方案

      Xilinx FPGAs require at least two power supplies: VCCINTfor core circuitry and VCCO for I/O interface. For the latestXilinx FPGAs, including Virtex-II Pro, Virtex-II and Spartan-3, a third auxiliary supply, VCCAUX may be needed. Inmost cases, VCCAUX can share a power supply with VCCO.The core voltages, VCCINT, for most Xilinx FPGAs, rangefrom 1.2V to 2.5V. Some mature products have 3V, 3.3Vor 5V core voltages. Table 1 shows the core voltagerequirement for most of the FPGA device families. TypicalI/O voltages (VCCO) vary from 1.2V to 3.3V. The auxiliaryvoltage VCCAUX is 2.5V for Virtex-II Pro and Spartan-3, andis 3.3V for Virtex-II.

    标签: Xilinx FPGA DC 输出

    上传时间: 2013-10-22

    上传用户:aeiouetla

  • Xilinx FPGA全局时钟资源的使用方法

    目前,大型设计一般推荐使用同步时序电路。同步时序电路基于时钟触发沿设计,对时钟的周期、占空比、延时和抖动提出了更高的要求。为了满足同步时序设计的要求,一般在FPGA设计中采用全局时钟资源驱动设计的主时钟,以达到最低的时钟抖动和延迟。 FPGA全局时钟资源一般使用全铜层工艺实现,并设计了专用时钟缓冲与驱动结构,从而使全局时钟到达芯片内部的所有可配置单元(CLB)、I/O单元 (IOB)和选择性块RAM(Block Select RAM)的时延和抖动都为最小。为了适应复杂设计的需要,Xilinx的FPGA中集成的专用时钟资源与数字延迟锁相环(DLL)的数目不断增加,最新的 Virtex II器件最多可以提供16个全局时钟输入端口和8个数字时钟管理模块(DCM)。与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG、IBUFGDS、BUFG、BUFGP、BUFGCE、 BUFGMUX、BUFGDLL和DCM等,如图1所示。  

    标签: Xilinx FPGA 全局时钟资源

    上传时间: 2013-11-20

    上传用户:563686540

  • Xilinx FPGA Datasheet

    XIlinx 7series FPGA Datasheet Select IO

    标签: Datasheet Xilinx FPGA

    上传时间: 2018-01-19

    上传用户:hanzhi22

  • XILINX+FPGA片上嵌入式系统的用户IP开发.

    随着FPGA技术的发展,在FPGA上实现片上系统在技术上已经可能。基于FPGA片上系统开发已成为目前FPGA应用的一个热点。但是基于FPGA片上系统对使用者的知识要求比较高,使用流程比较复杂,参考资料不多。成为目前开发者应用的瓶颈。本书针对基于FPGA片上系统开发的核心,用户IP的开发,并结合XILINX的嵌入式开发工具EDK,详细讲解了怎么去开发和调试客户自己的用户硬件外设(用户IP),使得开发者可以很快地熟练使用EDK,进行自己的片上系统开发。书中内容主要针对嵌入式用户硬件外设的开发流程和调试方法,不涉及开发语言的细节。在使用本书前必须熟练掌握硬件描述语言。本书基于XILINX的嵌入式开发平台,讲解了嵌入式系统的基本概念:FPGA原理和MicroBlaze处理器和最新的多端口内存控制器(MPMC)。以基于3个不同总线和接口的试验,详细讲述了怎样开发用户自定义IP。本书前三章以基本概念介绍为主。后四章以试验为主,分别介绍了在XILINX嵌入式开发平台上常用接口上用户IP开发的实现:第4章是介绍了EDK工具的使用流程;第5章是PLB总线的用户IP的开发;第6章是FSL总线的用户IP的开发;第7章是多端口内存控制器(mpmc)中NPI接口的用户IP的开发。

    标签: xilinx fpga 嵌入式系统

    上传时间: 2022-07-28

    上传用户:lw125849842

  • 可重构FPGA通讯纠错进化电路及其实现

    ASIC对产品成本和灵活性有一定的要求.基于MCU方式的ASIC具有较高的灵活性和较低的成本,然而抗干扰性和可靠性相对较低,运算速度也受到限制.常规ASIC的硬件具有速度优势和较高的可靠性及抗干扰能力,然而不是灵活性较差,就是成本较高.与传统硬件(CHW)相比,具有一定可配置特性的场可编程门阵列(FPGA)的出现,使建立在可再配置硬件基础上的进化硬件(EHW)成为智能硬件电路设计的一种新方法.作为进化算法和可编程器件技术相结合的产物,可重构FPGA的研究属于EHW的研究范畴,是研究EHW的一种具体的实现方法.论文认为面向分类的专用类可重构FPGA(ASR-FPGA)的研究,可使可重构电路粒度划分的针对性更强、设计更易实现.论文研究的可重构FPGA的BCH通讯纠错码进化电路是一类ASR-FPGA电路的具体方法,具有一定的实用价值.论文所做的工作主要包括:(1)BCH编译码电路的设计——求取实验用BCH码的生成多项式和校验多项式及其相应的矩阵并构造实验用BCH码;(2)建立基于可重构FPGA的基核——构造具有可重构特性的硬件功能单元,以此作为可重构BCH码电路的设计基础;(3)构造实现可重构BCH纠错码电路的方法——建立可重构纠错码硬件电路算法并进行实验验证;(4)在可重构纠错码电路基础上,构造进化硬件控制功能块的结构,完成各进化RLA控制模块的验证和实现.课题是将可重构BCH码的编译码电路的实现作为一类ASR-FPGA的研究目标,主要成果是根据可编程逻辑电路的特点,选择一种可编程树的电路模型,并将它作为可重构FPGA电路的基核T;通过对循环BCH纠错码的构造原理和电路结构的研究,将基核模型扩展为能满足纠错码电路需要的纠错码基本功能单元T;以T作为再划分的基本单元,对FPGA进行"格式化",使T规则排列在FPGA上,通过对T的控制端的不同配置来实现纠错码的各个功能单元;在可重构基核的基础上提出了纠错码重构电路的嵌套式GA理论模型,将嵌套式GA的染色体串作为进化硬件描述语言,通过转换为相应的VHDL语言描述以实现硬件电路;采用RLA模型的有限状态机FSM方式实现了可重构纠错码电路的EHW的各个控制功能块.在实验方面,利用Xilinx FPGA开发系统中的VHDL语言和电路图相结合的设计方法建立了循环纠错码基核单元的可重构模型,进行循环纠错BCH码的电路和功能仿真,在Xilinx公司的Virtex600E芯片进行了FPGA实现.课题在研究模型上选取的是比较基本的BCH纠错码电路,立足于解决基于可重构FPGA核的设计的基本问题.课题的研究成果及其总结的一套ASR-FPGA进化硬件电路的设计方法对实际的进化硬件设计具有一定的实际指导意义,提出的基于专用类基核FPGA电路结构的研究方法为新型进化硬件的器件结构的设计也可提供一种借鉴.

    标签: FPGA 可重构 通讯 纠错

    上传时间: 2013-07-01

    上传用户:myworkpost

  • 基于FPGA模型化设计的雷达信号

    随着现场可编程门阵列(FPGA)在工业中的广泛应用,使得基于FPGA数字信号处理的实现在雷达信号处理中有着重要地位。模型化设计是一种自顶向下的面向FPGA的快速原型验证法,它不仅降低了FPGA设计门槛,而且缩短了开发周期,提高了设计效率。这使得FPGA模型化设计成为了FPGA系统设计的发展趋势。本文针对常见雷达信号处理模块的FPGA模型化实现,在以下几个方面展开研究:首先对基于FPGA的模型化设计方法进行了研究,给出了模型化设计方法的发展现状和趋势,并对本文中使用的模型化设计方法的软件工具System Generator和AccelDSP进行了介绍。其次使用这两种软件工具对FIR滤波器进行了模型化设计并同RTL(寄存器传输级)设计方法进行对比,全面分析了模型化设计方法和RTL设计方法的优缺点。然后在简明阐述雷达信号处理原理的基础上,使用System Generator对数字下变频(DDC)、脉冲压缩、动目标显示(MTI)及恒虚警(CFAR)处理等雷达信号处理模块进行了自顶向下的模型化设计。在Simulink中进行了功能仿真验证,生成了HDL代码,并在Xilinx FPGA中进行了RTL的时序仿真分析。关键词:雷达信号处理 FPGA 模型化设计 System Generator AccelDSP

    标签: FPGA 模型 雷达信号

    上传时间: 2013-07-25

    上传用户:zhangsan123

  • 基于System Generator的数字下变频设计

    Xilinx公司推出的DSP设计开发工具System Generator是在Matlab环境中进行建模,是DSP高层系统设计与Xilinx FPGA之间实现的“桥梁”。在分析了FPGA传统级设计方法的基础上,提出了基于System Generator的系统级设计新方法,并应用新方法设计验证了一套数字下变频系统,通过仿真和实验结果验证了该方法的有效性和准确性。

    标签: Generator System 数字 变频设计

    上传时间: 2013-11-18

    上传用户:小草123

  • 基于Xilinx FPGA的多分辨率频谱分析仪设计

      频谱分析仪的主要工作原理   接收到的中频模拟信号经过A/D转换为14位的数字信 号,首先对数字信号进行数字下变频(DDC),得到I路、Q路信号,然后根据控制信号对I路、Q路信号进行抽取滤波,使用CIC抽取滤波器完成,然后在分 别对I路、Q路信号分别进行低通滤波,滤波器采用FIR滤波器和半带滤波器相结合的方式,然后对信号进行加窗、FFT(对频谱进行分析时进行FFT运算, 对功率谱进行分析时不进行FFT运算)、I路和Q路平方求和、求平均。最后将输出的数据送入到DSP中进行显示与控制的后续处理。

    标签: Xilinx FPGA 多分辨率 频谱分析仪

    上传时间: 2013-10-19

    上传用户:几何公差

  • 基于Xilinx FPGA的HDUSec-网络行为分析监控系统的设计与实现(含源代码)

      系统实现计划:   1、首先是熟悉NetFPGA平台,并进行平台搭建,NetFPGA通过计算机的PCI接口与上位机进行数据交互和系统设置等工作;   2、根据NetFPGA的路由器功能对其进行硬件代码的编写和改进;   3、接下来是使用C语言编写网络行为记录器;   4、设计管理系统、Web服务器、数据库。

    标签: Xilinx HDUSec FPGA 网络

    上传时间: 2013-11-08

    上传用户:xingisme

  • WP151 - Xilinx FPGA的System ACE配置解决方案

    Design techniques for electronic systems areconstantly changing. In industries at the heart of thedigital revolution, this change is especially acute.Functional integration, dramatic increases incomplexity, new standards and protocols, costconstraints, and increased time-to-market pressureshave bolstered both the design challenges and theopportunities to develop modern electronic systems.One trend driving these changes is the increasedintegration of core logic with previously discretefunctions to achieve higher performance and morecompact board designs.

    标签: System Xilinx FPGA 151

    上传时间: 2014-12-28

    上传用户:康郎