虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

vhdl滤波

  • 基于FPGA实现数字滤波

    随着科技的发展,电子电路的设计正逐渐摆脱传统的设计模式。可编程逻辑器件及硬件描述语言的出现与发展从根本上改变了数字系统设计与实现的技术与方法,越来越多的数字信号处理系统采用可编程逻辑器件来实现。 数字滤波技术作为数字信号处理的基本分支之一,在各种数字信号处理中起着重要作用,被广泛应用于很多领域。其中有限长冲激响应(FIR)滤波器,只有零点、系统稳定、运算速度快、具有线性相位的特性,设计灵活,在工程实际中获得广泛应用。 本文以数字滤波器的基本理论为依据,通过对现场可编程门阵列(FPGA)内部结构的研究,结合软件工程学中结构化设计思想和硬件描述语言的特点,以9阶FIR低通数字滤波器为例,采用Altera公司的EPIK30TC144-3器件完成了FIR数字滤波器的软硬件设计。我们在设计中采用了层次化、模块化的设计思想,将整个滤波器划分为多个功能模块,利用VHDL语言进行了各个功能模块的设计。 为了使设计的过程和结果更为直观,文中详细介绍了核心及外围硬件电路的设计过程,最终达到了基于FPGA硬件实现参数化FIR数字滤波器的目的。实验测试表明,本论文所设计的基于FPGA的9阶FIR低通数字滤波器基本达到了设计指标。依照此方法,只要修改参数,升级相关硬件,便可以更改滤波器性能,实现高通、带通FIR数字滤波器,说明本设计具有普遍指导意义。

    标签: FPGA 数字滤波

    上传时间: 2013-05-24

    上传用户:1101055045

  • 通过VHDL语言进行数字信号处理的FIR操作

    通过VHDL语言进行数字信号处理的FIR操作,可以很好的实现滤波功能,有很好的作用,

    标签: VHDL FIR 语言 数字信号处理

    上传时间: 2013-08-11

    上传用户:gundan

  • 通过VHDL语言进行数字信号处理的FIR操作

    通过VHDL语言进行数字信号处理的FIR操作,可以很好的实现滤波功能,有很好的作用,

    标签: VHDL FIR 语言 数字信号处理

    上传时间: 2014-01-10

    上传用户:dianxin61

  • 采用快速中指滤波算法实现图像的中值滤波

    采用快速中指滤波算法实现图像的中值滤波,使用VHDL语言ISE环境

    标签: 滤波算法 图像 中值滤波

    上传时间: 2013-12-20

    上传用户:chenlong

  • VHDL硬件描述语言与数字逻辑电路设计

    VHDL硬件描述语言与数字逻辑电路设计

    标签: VHDL 硬件描述语言 数字逻辑 电路设计

    上传时间: 2013-05-19

    上传用户:eeworm

  • 德国VAC 超微晶材料磁芯用于共模滤波电感的几大优异性

    德国VAC 超微晶材料磁芯用于共模滤波电感的几大优异性

    标签: VAC 超微晶 材料 共模滤波

    上传时间: 2013-05-31

    上传用户:eeworm

  • VHDL硬件描述语言 e文 PDF版

    VHDL硬件描述语言 e文 PDF版

    标签: VHDL 硬件描述语言

    上传时间: 2013-04-15

    上传用户:eeworm

  • VHDL程序实例集 .PDF

    VHDL程序实例集 .PDF

    标签: VHDL 程序实例

    上传时间: 2013-06-02

    上传用户:eeworm

  • VHDL语言100例详解

    VHDL语言100例详解

    标签: VHDL 100 语言

    上传时间: 2013-06-05

    上传用户:eeworm

  • 可编程逻辑系统的VHDL设计技术 .PDF

    可编程逻辑系统的VHDL设计技术 .PDF

    标签: VHDL 可编程 逻辑系统

    上传时间: 2013-07-22

    上传用户:eeworm