虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

tcad器件工艺

  • LCC谐振变换器在大功率高输出电压场合的应用研究.rar

    高压直流电源广泛应用于医用X射线机,工业静电除尘器等设备。传统的工频高压直流电源体积大、重量重、变换效率低、动态性能差,这些缺点限制了它的进一步应用。而高频高压直流电源克服了前者的缺点,已成为高压大功率电源的发展趋势。本文对应用在高输出电压大功率场合的开关电源进行研究,对主电路拓扑、控制策略、工艺结构等方面做出详细讨论,提出实现方案。 高压变压器由于匝比很大,呈现出较大的寄生参数,如漏感和分布电容,若直接应用在PWM变换器中,漏感的存在会产生较高的电压尖峰,损坏功率器件,分布电容的存在会使变换器有较大的环流,降低了变换器的效率。本文选用具有电容型滤波器的LCC谐振变换器为主电路拓扑,它可以利用高压变压器中漏感和分布电容作为谐振元件,减少了元件的数量,从而减小了变换器的体积。 LCC谐振变换器采用变频控制策略,可以工作在电感电流连续模式(CCM)和电感电流断续模式(DCM),本文对这两种工作模式进行详细讨论。针对CCM下的LCC谐振变换器,本文分析其工作原理,用基波近似法推导出变换器的稳态模型,给出一种详尽的设计方法,可以保证所有开关管在全负载范围内实现零电压开关,减小电流应力和开关频率的变化范围,并进行仿真验证。基于该变换器,研制出输出电压为41kV,功率为23kW的高频高压电源,实验结果验证了分析与设计的正确性。 针对DCM下的LCC谐振变换器,本文分析其工作原理,该变换器可以实现零电流开关,有效地减小IGBT拖尾电流造成的关断损耗。论文通过电路状态方程推导出变换器的电压传输比特性,在此基础上对主电路参数进行设计,并进行仿真验证。基于该变换器,研制出输出电压为66kV,功率为72kW的高频高压电源,实验结果表明了方案的可行性。

    标签: LCC 谐振变换器 大功率

    上传时间: 2013-04-24

    上传用户:edrtbme

  • 基于FPGA的烤烟自动控制系统的研究与设计.rar

    烟叶烘烤是烟叶生产中一个非常重要的环节,为保证烟叶烘烤的质量,需要有效的控制温度和湿度让其按照“三段式”工艺曲线进行变化。本文通过对三段式工艺的分析,构建了以FPGA为控制核心,采用数字式温湿度传感器进行温湿度测量的烤烟自动控制系统。 整个系统的实现是基于CYCLONEⅡ系列的FPGA器件EP2C8Q208C8进行的。同时对系统的配置电路、驱动电路、显示控制电路、语音提示和温湿度测量电路进行了设计,并给出了各个模块的电路原理图。由于温湿度测量是系统设计实现的重要部分,所以本文重点讨论了温度传感器DS18820和湿度传感器HS1101的性能特点、工作原理、处理次序和设计流程。针对烟叶烘烤过程中烤房温湿度的测量和控制中,存在的强时变、大时滞、非线性的问题,采用了模糊控制算法进行控制,并给出了模糊控制器设计的方法。另外,为方便用户调用烟叶烘烤中经验曲线,提出了使用EEPROM对烘烤经验曲线参数进行处理。而且讨论了如何通过I2C总线与EEPROM进行读写操作进而实现参数的保存和读取。系统的测试结果表明烤烟自动控制系统基本上达到了实际的要求,具有一定的先进性。

    标签: FPGA 自动控制系统

    上传时间: 2013-04-24

    上传用户:也一样请求

  • FPGA中多标准可编程IO端口的设计.rar

    现场可编程门阵列(FPGA,Field Programmable Gate Array)是可编程逻辑器件的一种,它的出现是随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(ASIC,Application Specific Integrated Circuit).芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中。现在,FPGA已广泛地运用于通信领域、消费类电子和车用电子。 本文中涉及的I/O端口模块是FPGA中最主要的几个大模块之一,它的主要作用是提供封装引脚到CLB之间的接口,将外部信号引入FPGA内部进行逻辑功能的实现并把结果输出给外部电路,并且根据需要可以进行配置来支持多种不同的接口标准。FPGA允许使用者通过不同编程来配置实现各种逻辑功能,在IO端口中它可以通过选择配置方式来兼容不同信号标准的I/O缓冲器电路。总体而言,可选的I/O资源的特性包括:IO标准的选择、输出驱动能力的编程控制、摆率选择、输入延迟和维持时间控制等。 本文是关于FPGA中多标准兼容可编程输入输出电路(Input/Output Block)的设计和实现,该课题是成都华微电子系统有限公司FPGA大项目中的一子项,目的为在更新的工艺水平上设计出能够兼容单端标准的I/O电路模块;同时针对以前设计的I/O模块不支持双端标准的缺点,要求新的电路模块中扩展出双端标准的部分。文中以低压双端差分标准(LVDS)为代表构建双端标准收发转换电路,与单端标准比较,LVDS具有很多优点: (1)LVDS传输的信号摆幅小,从而功耗低,一般差分线上电流不超过4mA,负载阻抗为100Ω。这一特征使它适合做并行数据传输。 (2)LVDS信号摆幅小,从而使得该结构可以在2.5V的低电压下工作。 (3)LVDS输入单端信号电压可以从0V到2.4V变化,单端信号摆幅为400mV,这样允许输入共模电压从0.2V到2.2V范围内变化,也就是说LVDS允许收发两端地电势有±1V的落差。 本文采用0.18μm1.8V/3.3V混合工艺,辅助Xilinx公司FPGA开发软件ISE,设计完成了可以用于Virtex系列各低端型号FPGA的IOB结构,它有灵活的可配置性和出色的适应能力,能支持大量的I/O标准,其中包括单端标准,也包括双端标准如LVDS等。它具有适应性的优点、可选的特性和考虑到被文件描述的硬件结构特征,这些特点可以改进和简化系统级的设计,为最终的产品设计和生产打下基础。设计中对包括20种IO标准在内的各电器参数按照用户手册描述进行仿真验证,性能参数已达到预期标准。

    标签: FPGA 标准 可编程

    上传时间: 2013-05-15

    上传用户:shawvi

  • 基于USB2.0的FPGA配置接口及实验开发评估板设计与实现.rar

    信号与信息处理是信息科学中近几年来发展最为迅速的学科之一,随着片上系统(SOC,System On Chip)时代的到来,FPGA正处于革命性数字信号处理的前沿。基于FPGA的设计可以在系统可再编程及在系统调试,具有吞吐量高,能够更好地防止授权复制、元器件和开发成本进一步降低、开发时间也大大缩短等优点。然而,FPGA器件是基于SRAM结构的编程工艺,掉电后编程信息立即丢失,每次加电时,配置数据都必须重新下载,并且器件支持多种配置方式,所以研究FPGA器件的配置方案在FPGA系统设计中具有极其重要的价值,这也给用于可编程逻辑器件编程的配置接口电路和实验开发设备提出了更高的要求。 本论文基于IEEE1149.1标准和USB2.0技术,完成了FPGA配置接口电路及实验开发板的设计与实现。作者在充分理解IEEE1149.1标准和USB技术原理的基础上,针对Altcra公司专用的USB数据配置电缆USB-Blaster,对其内部工作原理及工作时序进行测试与详细分析,完成了基于USB配置接口的FPGA芯片开发实验电路的完整软硬件设计及功能时序仿真。作者最后进行了软硬件调试,完成测试与验证,实现了对Altera系列PLD的配置功能及实验开发板的功能。 本文讨论的USB下载接口电路被验证能在Altera的QuartusII开发环境下直接使用,无须在主机端另行设计通信软件,其兼容性较现有设计有所提高。由于PLD(Programmable Logic Device)厂商对其知识产权严格保密,使得基于USB接口的配置电路应用受到很大限制,同时也加大了自行对其进行开发设计的难度。 与传统的基于PC并口的下载接口电路相比,本设计的基于USB下载接口电路及FPGA实验开发板具有更高的编程下载速率、支持热插拔、体积小、便于携带、降低对PC硬件伤害,且具备其它下载接口电路不具备的SignalTapII嵌入式逻辑分析仪和调试NiosII嵌入式软核处理器等明显优势。从成本来看,本设计的USB配置接口电路及FPGA实验开发板与其同类产品相比有较强的竞争力。

    标签: FPGA USB 2.0

    上传时间: 2013-04-24

    上传用户:lingduhanya

  • FPGA芯片关键电路设计.rar

    现场可编程门阵列(FPGA)器件是能通过对其进行编程实现具有用户规定功能的电路,特别适合集成电路的新品开发和小批量ASIC电路的生产。近几年来,FPGA的发展非常迅速,但目前国内厂商所使用的FPGA芯片主要还是从国外进口,这种状况除了给生产厂家带来很大的成本压力以外,同时也影响到国家信息产业的保密和安全问题,因此在国内自主研发FPGA便成为一种必然的趋势。 基于上述现实状况及国内市场的巨大需求,中国电子科技集团公司第58研究所近年来对FPGA进行了专项研究,本论文正是作为58所专项的一部分研究工作的总结。本文深入研究了FPGA的相关设计技术,并进行了实际的FPGA器件设计,研究工作的重点是在华润上华(CSMC)0.5μm标准CMOS工艺基础上进行具有6000有效门的FPGA的电路设计与仿真。 论文首先阐述了可编程逻辑器件的基本结构,就可编程逻辑器件的发展过程及其器件分类,对可编程只读存储器、现场可编程逻辑阵列、可编程阵列逻辑、通用逻辑阵列和复杂PLD等的基本结构特点进行了讨论。接着讨论了FPGA的基本结构与分类及它的编程技术,另外还阐述了FPGA的集成度和速率等相关问题。并根据实际指标要求确定本文研究目标FPGA的基本结构和它的编程技术,在华润上华0.5μm标准CMOS工艺的基础上,进行一款FPGA芯片的设计研究工作。进行了可编程逻辑单元的基本结构的设计,并用CMOS逻辑和NMOS传输管逻辑实现了函数发生器、快速进位链和触发器的电路设计,并对其进行了仿真,达到了预期的目标。

    标签: FPGA 芯片 电路设计

    上传时间: 2013-08-01

    上传用户:baitouyu

  • GAL器件的开发与应用.rar

    GAL器件的开发与应用.rar GAL器件的开发与应用.rar

    标签: GAL 器件

    上传时间: 2013-07-14

    上传用户:feichengweoayauya

  • 嵌入式USB总线器件端处理器的FPGA实现研究

      本文提出了一种适合于嵌入式SoC的USB器件端处理器的硬件实现结构。并主要研究了USB器件端处理器的RTL级实现及FPGA原型验证、和ASIC实现研究,包括从模型建立、算法仿真、各个模块的RTL级设计及仿真、FPGA的下载测试和ASIC的综合分析。它的速度满足预定的48MHz,等效门面积不超过1万门,完全可应用于SOC设计中。  本文重点对嵌入式USB器件端处理器的FPGA实现作了研究。为了准确测试本处理器的运行情况,本文应用串口传递测试数据入FPGA开发板,测试模块读入测试数据,发送入PC机的主机端。通过NI-VISA充当软件端,检验测试数据的正确。     

    标签: FPGA USB 嵌入式 器件

    上传时间: 2013-07-24

    上传用户:1079836864

  • 软件无线电调制解调系统的研究及其FPGA实现

    软件无线电是二十世纪九十年代提出的一种实现无线通信的体系结构,被认为是继模拟通信、数字通信之后的第三代无线电通信技术。它的中心思想是:构造一个开放性、标准化、模块化的通用硬件平台,并使宽带模数和数模转换器尽可能靠近天线,从而将各种功能,如工作频段、调制解调类型、数据格式、加密模式、通信协议等用软件来完成。 本论文首先介绍了软件无线电的基本原理和三种结构形式,综述了软件无线电的几项关键技术及其最新研究进展。其中调制解调模块是软件无线电系统中的重要部分,集中体现了软件无线电最显著的优点——灵活性。目前这一部分的技术实现手段多种多样。随着近几年来芯片制造工艺的飞速发展,可编程器件FPGA以其高速的处理性能、高容量和灵活的可重构能力,成为实现软件无线电技术的重要手段。 本论文调制解调系统的设计,选择有代表性的16QAM和QPSK两种方式作为研究对象,采用SystemView软件作为系统级开发工具进行集成化设计。在实现系统仿真和FPGA整体规划后,着重分析用VHDL实现其中关键模块以及利用嵌入FPGA的CPU核控制调制解调方式转换的方法。同时,在设计中成功地调用了Xilinx公司的IP核,实现了设计复用。由于FPGA内部逻辑可以根据需要进行重构,因而硬件的调试和升级变得很容易,而内嵌CPU使信号处理过程可以用软件进行控制,充分体现了软件无线电的灵活性。 通过本论文的研究,初步验证了在FPGA内实现数字调制解调过程及控制的技术可行性和应用的灵活性,并对将来的扩展问题进行了研究和讨论,为实现完整的软件无线电系统奠定了基础。

    标签: FPGA 软件无线电 调制解调

    上传时间: 2013-06-10

    上传用户:xhz1993

  • 基于OFDM的PLC通信系统同步模块的FPGA实现

    电力线通信技术利用分布广泛的低压电力线作为通信信道,实现internet高速互连,为用户提供互联网访问、视频点播等服务,形成包括电力在内的“四网合一”,目前正受到人们的关注。利用该技术,可以在居民区内建立宽带接入网,也可以利用遍布家庭各个房间的电源插座组成家庭局域网。但是电力线是传输电能的,因此通过电力线传输数据有许多的问题需要解决。 OFDM(正交频分复用)技术是实现电力线通信的一项热门技术。OFDM采用添加循环前缀的技术,能有效地降低ICI(信道间干扰)和ISI(码间干扰)。同时通过使用正交的子信道,大大提高了频谱资源利用率。FPGA作为可编程逻辑器件,具有设计时间短、投资少、风险小的特点,而且可以反复修改,反复编程,直到完全满足需要,具有其他方式无可比拟的方便性和灵活性,能够加速数字系统的研发速度。本文着重研究了OFDM同步技术在FPGA上的实现。本论文主要是在项目组工作的基础上构造双路信号数据纠正算法流程,提出最佳采样点与载波相位估计算法,完善中各个子模块算法的硬件设计流程。内容安排如下:第一章介绍OFDM(正交频分复用)技术的发展历史、技术原理。第二章介绍了PLD的分类、工艺和结构特点,以及FPGA的开发环境、开发流程和Verilog语言的特点。第三章对OFDM系统的同步模块进行详细的阐述。第四章是OFDM同步算法的在FPGA上的实现,对各个子模块进行仿真,给出了仿真波形图和系统性能分析。最后,第五章总结了全文的工作,对OFDM技术的实现需要进一步完善的方面与后续工作进行了探讨。

    标签: OFDM FPGA PLC 通信系统

    上传时间: 2013-04-24

    上传用户:hgy9473

  • 制绒工艺

    填补了制绒工艺数据的空白,为今后设计研发出更有利于大规模生产线上应用的清洗设备奠定了坚实的基础。

    标签: 工艺

    上传时间: 2013-07-03

    上传用户:qilin