虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

switch

switch是交换机,它的前身是网桥。交换机是使用硬件来完成以往网桥使用软件来完成过滤、学习和转发过程的任务。switch速度比HUB快,这是由于HUB不知道目标地址在何处,发送数据到所有的端口。而switch中有一张转发表,如果知道目标地址在何处,就把数据发送到指定地点,如果它不知道就发送到所有的端口。这样过滤可以帮助降低整个网络的数据传输量,提高效率。但然交换机的功能还不止如此,它可以把网络拆解成网络分支、分割网络数据流,隔离分支中发生的故障,这样就可以减少每个网络分支的数据信息流量而使每个网络更有效,提高整个网络效率。目前有使用switch代替HUB的趋势。
  • Xilix spartan 3E 旋转编码器接口,脉冲方向识别,AB脉冲滤波 Rotary Encoder Interface Demonstrates how to use the rotar

    Xilix spartan 3E 旋转编码器接口,脉冲方向识别,AB脉冲滤波 Rotary Encoder Interface Demonstrates how to use the rotary encoder portion of the rotary pushbutton switch.

    标签: Demonstrates Interface Encoder spartan

    上传时间: 2014-12-21

    上传用户:aig85

  • 学生成绩查询系统

    学生成绩查询系统,运用结构体,struct ,sort ,Clrscr(),textcolor()函数,用for()循环语句,switch和ifelse作为条件测试语句。 程序实现了学生成绩查询功能,有20个我们班学生的数据信息,每个包含: 学号 — num [10](字符串)、姓名(拼音)— name[25](字符串)、 性别 — sex(字符,M或W)、年龄 — age(整型)、 三门课程成绩(高数、英语、计算机)— score [3 ](单精度)。设计一个系统: 定义及输出。用结构体类型数据及其赋初值的方法把学生的数据送到结构体数组中(第一个数据用自己的真实数据,其它数据自定,但注意要是合法数据),然后把它们输出显示;计算并排序。计算每个学生三门课程的总分(sum,单精度)及平均分(aver,单精度,输出一位小数),还有他们成绩的排名,将包括所有数据的结构体数组元素按总分从大到小的顺序排序打印出来; 运行程序,进入主界面!可输入1—输出所有学生的成绩,输入2—计算和排列学生的成绩,输入3—查询学生个人成绩,输入4—退出查询。 在进行学生个人成绩个人查询可以实现多次查询——进入学生个人查询,输入1回车实现多次查询。 运行环境为TURBOC ,WINDOWSXP。

    标签: 成绩查询系统

    上传时间: 2014-01-01

    上传用户:cx111111

  • The TMS320VC5506/C5507/C5509A USB peripherals can be clocked from either the USB APLL or the USB DP

    The TMS320VC5506/C5507/C5509A USB peripherals can be clocked from either the USB APLL or the USB DPLL. Since the APLL is inherently more noise tolerant and has less long-term jitter than the DPLL, it is recommended that you switch to it for any USB operations.

    标签: USB peripherals the clocked

    上传时间: 2014-01-01

    上传用户:yuzsu

  • 实现FPGA和上位机的串口通信

    实现FPGA和上位机的串口通信,里面由波特率发生器,移位寄存器,计数器,detecter,switch,switch_bus等功能块综合而成。

    标签: FPGA 上位机 串口通信

    上传时间: 2017-03-22

    上传用户:dongqiangqiang

  • Code was successfully implemented within ALtera FPGA with Quartus 6.0. It presents two polish own fe

    Code was successfully implemented within ALtera FPGA with Quartus 6.0. It presents two polish own female names: ULA and ALA whose are scrolling on the 4-columns crystal LED. When you press the switch it will turn from ULA into ALA and continue scrolling.

    标签: successfully implemented presents Quartus

    上传时间: 2013-12-09

    上传用户:zjf3110

  • 有创新的4*4矩阵键盘

    有创新的4*4矩阵键盘,只用两个switch搞定,学单片机的朋友可以分享一下。

    标签: 创新 矩阵键盘

    上传时间: 2017-04-22

    上传用户:ccclll

  • This file is distributed in the hope that it will be useful, but WITHOUT * WARRANTY OF ANY KIND.

    This file is distributed in the hope that it will be useful, but WITHOUT * WARRANTY OF ANY KIND. * * Author(s): Ole Saether * * DESCRIPTION: * * Hello World program. Please note that this program runs the internal 8051 * on the default power up frequency of 4MHz. See ex3c.c for an example on how * to switch to 16MHz. * * The functionality is the same as in ex1a.asm. * * COMPILER: * * This program has been tested with Keil V7.07a. * * $Revision: 3 $ *

    标签: distributed WARRANTY WITHOUT useful

    上传时间: 2017-05-17

    上传用户:515414293

  • This file is distributed in the hope that it will be useful, but WITHOUT WARRANTY OF ANY KIND.

    This file is distributed in the hope that it will be useful, but WITHOUT WARRANTY OF ANY KIND. Author(s): Ole Saether DESCRIPTION: nRF9E5 Hello World program. Please note that this program runs the internal 8051 on the default power up frequency of 4MHz. See ex3a.asm for an example on how to switch to 16MHz. The functionality is the same as in ex1c.c. ASSEMBLER: You need as31.exe to assemble this program. It can be downloaded from this web page: http://www.pjrc.com/tech/8051/ $Revision: 4 $

    标签: distributed WARRANTY WITHOUT useful

    上传时间: 2017-05-17

    上传用户:wuyuying

  • public class month1{ public static void main(String [] args){ int month = 10 int days = 0 s

    public class month1{ public static void main(String [] args){ int month = 10 int days = 0 switch(month){ case 1: days = 31 case 2: days = 28 case 3: days = 31

    标签: public int String month1

    上传时间: 2014-08-26

    上传用户:chenbhdt

  • Abstract七段显示器在DE2可当成Verilog的console

    Abstract七段显示器在DE2可当成Verilog的console,做为16进位的输出结果。Introduction使用环境:Quartus II 7.2 SP1 + DE2(Cyclone II EP2C35F627C6)简单的使用switch当成2进位输入,并用8位数的七段显示器显示16进位的结果。

    标签: Abstract Verilog console DE2

    上传时间: 2017-06-03

    上传用户:zhangyigenius