1 任务 设计一个文本编辑器。 2 基本要求 1 如图所示,设计一个有菜单栏的编辑窗口,在该窗口可以实现文本的输入,利用DEL键、BackSpace键、Home键、End键、上下左右光标键,实现对输入文本的全屏幕编辑。 2 实现文件的新建、打开、保存、另存为与退出等功能。 包含 设计思路、技术报告、和不同阶段的设计源代码 扩展要求 1 要求使用彩色组和背景颜色来设计界面颜色。 2 模拟一些著名编辑器(如Source Insight)的其它功能,如比较详细的帮助功能,对特定的命令或保留字(如C语言或汇编语言)能显示不同的醒目颜色。 3 自己参考其它编辑器进行发挥。
上传时间: 2013-11-03
上传用户:lhc9102
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is Port ( clk : in std_logic; resetn : in std_logic; dout : out std_logic_vector(7 downto 0); lcd_en : out std_logic; lcd_rs : out std_logic; lcd_rw : out std_logic); end counter;
上传时间: 2013-10-30
上传用户:wqxstar
mm to mil tool,mm to mil tool_mm转mil转换工具
上传时间: 2013-10-31
上传用户:515414293
mm to mil tool,mm to mil tool_mm转mil转换工具
上传时间: 2013-11-14
上传用户:crazyer
enter——选取或启动 esc——放弃或取消 f1——启动在线帮助窗口 tab——启动浮动图件的属性窗口 pgup——放大窗口显示比例 pgdn——缩小窗口显示比例 end——刷新屏幕 del——删除点取的元件(1个) ctrl+del——删除选取的元件(2个或2个以上) x+a——取消所有被选取图件的选取状态 x——将浮动图件左右翻转 y——将浮动图件上下翻转 space——将浮动图件旋转90度 crtl+ins——将选取图件复制到编辑区里 shift+ins——将剪贴板里的图件贴到编辑区里 shift+del——将选取图件剪切放入剪贴板里 alt+backspace——恢复前一次的操作 ctrl+backspace——取消前一次的恢复 crtl+g——跳转到指定的位置 crtl+f——寻找指定的文字
上传时间: 2013-11-01
上传用户:a296386173
USB TO RS232 RS485 UART转接板电路原理图
上传时间: 2013-10-22
上传用户:macarco
program to trasmit data to a TI92 with the TI Graph-Link
标签: Graph-Link program trasmit data
上传时间: 2015-01-03
上传用户:youke111
Transfer Files to and from an FTP Server
标签: Transfer Server Files from
上传时间: 2013-12-17
上传用户:jing911003
This book introduces embedded systems to C and C++ programmers. Topics include testing memory devices, writing and erasing Flash memory, verifying nonvolatile memory contents, controlling on-chip peripherals, device driver design and implementation, optimizing embedded code for size and speed, and making the most of C++ without a performance penalty. Pages : 336 Slots : 1
标签: programmers introduces embedded include
上传时间: 2013-12-10
上传用户:shizhanincc
CGAL is a collaborative effort of several sites in Europe and Israel. The goal is to make the most important of the solutions and methods developed in computational geometry available to users in industry and academia in a C++ library. The goal is to provide easy access to useful, reliable geometric algorithms
标签: collaborative several Europe Israel
上传时间: 2015-01-09
上传用户:refent