虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

XILINX-XUPV

  • 《FPGA应用开发入门与典型实例》 pdf 华清远见10年特献版

    逻辑器件分成两类:① 固定的或定制的。② 可编程的或可变的。其中,固定的或定制的逻辑器件通常称为专用芯片(ASIC)。ASIC 是为了满足特定的用途而设计的芯片,例如 MP3 解码芯片等。其优点是通过固化的逻辑功能和大规模的工业化生产,降低了芯片的成本,同时提高了产品的可靠性。随着集成度的提高,ASIC 的物理尺寸也在不断的缩小。但是,ASIC 设计的周期很长,而且投资大,风险高。一旦设计结束后,功能就固化了,以后的升级改版困难比较大。电子产品的市场正在逐渐细分,为了满足快速产品开发,产生了现场可编程逻辑器件(FPGA)。 自 1984 年 Xilinx 公司推出了第一片现场可编程逻辑器件(FPGA)至今,FPGA 已经历了 20 几年的快速发展历程。特别是近几年来,更是发展迅速。FPGA 的逻辑规模已经从最初的 1000 个可用门发展到现在的1000 万个可用门。FPGA 技术之所以具有巨大的市场吸引力,其根本原因在于:FPGA 不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、投入少,芯片价格不断下降。FPGA 正在越来越多地取代传统上 ASIC,特别是在小批量、个性化的产品市场方面

    标签: fpga

    上传时间: 2022-04-22

    上传用户:GGMD

  • FPGA核心知识详解与开发技巧-完整版.docx

    FPGA核心知识详解与开发技巧对初级FPGA工程师而言,必须掌握FPGA相关基础知识、精通硬件描述语言、熟练数字电路设计、加强工程项目的实践。应广大初级FPGA工程师/FPGA爱好者之需,电子发烧友网策划整合并隆重推出FPGA核心知识详解与开发技巧电子书,以后会陆续推出其他章节,敬请广大工程师朋友继续关注和留意。目录1、FPGA核心知识详解(1):FPGA入门必备2、FPGA核心知识详解(2):FPGA入门书籍推荐篇3、FPGA核心知识详解(3):那些让FPGA初学者纠结的仿真4、FPGA开发技巧(1)5、FPGA开发技巧(2)6、FPGA开发技巧(3)7、FPGA开发技巧(4)8、FPGA开发技巧(5)9、FPGA开发技巧(6)你能从这本书中学到什么本书主要讲解FPGA相关基础知识、精通硬件描述语言、熟练数字电路设计、加强工程项目的实践,包括:FPGA入门必备FPGA入门书籍推荐篇那些让FPGA初学者纠结的仿真FPGA开发技巧(6篇)适宜人群本书籍主要介绍FPGA设计技巧,适合电子类专业的学生、初级电子工程师需要学习FPGA设计知识的从业人员希望加强FPGA设计技能的电子爱好者FPGA学习笔记之时序处理技巧时序分析是FPGA设计中永恒的话题,也是FPGA开发人员设计进阶的必由之路。慢慢来,先介绍时序分析中的一些基本概念。应广大初级FPGA工程师/FPGA爱好者之需,电子发烧友网策划整合并隆重推出FPGA学习笔记之时序处理技巧电子书,以后会陆续推出其他章节,敬请广大工程师朋友继续关注和留意。目录1时序分析中的一些基本概念2FPGA进行静态时序分析3Xilinx FPGA编程技巧常用时序约束方法48 忠告 FPGA系统设计时序检查问题5如何有效的管理FPGA设计中的时序问题你能从这本书中学到什么本书主要讲解FPGA时序分析的基本概念及常见问题的解决办法,包括:时序分析中的一些基本概念FPGA进行静态时序分析Xilinx FPGA编程技巧常用时序约束方法8 忠告 FPGA系统设计时序检查问题如何有效的管理FPGA设计中的时序问题适宜人群本书籍主要介绍FPGA设计技巧,适合电子类专业的学生、初级电子工程师需要学习FPGA设计知识的从业人员希望加强FPGA设计技能的电子爱好者

    标签: fpga

    上传时间: 2022-05-02

    上传用户:XuVshu

  • FPGA笔试题及答案

    1.       目前世界上有十几家生产CPLD/FPGA的公司,最大的两家是:( )和 ( )。答案:Xilinx、Altera目的:知识面考点:fpga熟悉2.       FPGA的基本结构由3种可编程单元和一个用于存放编程数据的静态存储器组成。这3种可编程的单元分别是()、()和()。答案:IOB——输入输出模块目的:知识面            CLB——可编程逻辑模块IR—互联资源或可编程内部连线目的:fpga基本结构的了解考点:fpga基本知识 3.       Verilog语言信号赋值包括非阻塞赋值和阻塞赋值,一般非阻塞赋值用在( )描述中,阻塞赋值用在( )描述中;答案:时序电路、组合逻辑目的:verilog语言的了解考点:硬件语言知识

    标签: fpga

    上传时间: 2022-05-09

    上传用户:突破自我

  • xilinx FPGA 基于RTL8211EG的以太网通信

    基于RTL8211EG的以太网通信,使用ISE平台编写,若要移植到其他芯片,更改引脚约束即可。

    标签: xilinx fpga rtl8211eg 以太网 通信

    上传时间: 2022-05-11

    上传用户:kent

  • xilinx vivado lic

    vivado lic,适合各版本有史以来期限最长功能最多的_Vivado_的license文件

    标签: vivado

    上传时间: 2022-05-25

    上传用户:jiabin

  • Vivado设计流程指导手册-含安装流程与仿真

    Vivado设计分为Project Mode和Non-project Mode两种模式,一般简单设计中,我们常用的是Project Mode。在本手册中,我们将以一个简单的实验案例,一步一步的完成Vivado的整个设计流程一、新建工程1、打开Vivado 2013.4开发工具,可通过桌面快捷方式或开始菜单中xilinx DesignTools-Vivado 2013.4下的Vivado 2013.4打开软件,开启后,软件如下所示:2、单击上述界面中Create New Project图标,弹出新建工程向导,点击Next.3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指定存储路径下建立独立的文件夹。设置完成后,点击Next注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成。4、选择RTL Project一项,并勾选Do not specifty sources at this time,勾选该选项是为了跳过在新建工程的过程中添加设计源文件。点击Next.IA5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以xilinx官方开发板KC705为例,Nexys4开发板请选择Artix-7 XC7A100TCSG324-2的器件,即Family和Subfamily均为Artix-7,封装形式(Package)为cSG324,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next6、确认相关信息与设计所用的的FPGA器件信息是否一致,一致请点击Finish,不一致,请返回上一步修改。二、设计文件输入1、如下图所示,点击Flow Navigator下的Project Manager->Add Sources或中间Sources中的对话框打开设计文件导入添加对话框。2、选择第二项Add or Create Design Sources,用来添加或新建Verilog或VHDL源文件,点击Next

    标签: vivado

    上传时间: 2022-05-28

    上传用户:默默

  • kintex7-xilinx的FPGA创龙开发板原理图

    高速数据采集和生成基于TIDSPTMS320C6678+XilinxFPGAKintex-7创龙开发板原理图

    标签: kintex7 fpga 开发板

    上传时间: 2022-06-01

    上传用户:kent

  • Xilinx_FPGA设计权威指南_Vivado集成设计环境

    经典FGPA学习书籍 Xilinx FPGA设计权威指南 Vivado集成设计环境全书共分8章,内容包括: Vivado设计导论、Vivado工程模式和非工程模式设计流程、Vivado调试流程、基于IP的嵌入式系统设计流程、Vivado HLS设计流程、System Generator设计流程、Vivado部分可重配置设计流程和Vivado高级设计技术。本书参考了Xilinx公司提供的Vivado最新设计资料,理论与应用并重,将Xilinx公司最新的设计方法贯穿在具体的设计实现中。本书可作为使用Xilinx Vivado集成开发环境进行FPGA设计的工程技术人员的参考用书,也可作为电子信息类专业高年级本科生和研究生的教学用书,同时也可作为Xilinx公司的培训教材。 本书全面系统地介绍了Xilinx新一代集成开发环境Vivado的设计方法、设计流程和具体实现。

    标签: fpga vivado

    上传时间: 2022-06-10

    上传用户:joshau007

  • Xilinx Zynq-7000 嵌入式系统设计与实现(何宾著)

    zynq系列内容非常丰富的一本书第1章Zynq-7000 SoC设计导论第2章AMBA协议规范第3章Zynq-7000系统公共资源及特性第4章Zynq调试和测试子系统第5章Cortex-A9处理器及指令集第6章Cortex-A9片上存储器系统结构和功能第7章Zynq-7000 SoC的Vivado基本设计流程第8章ARM GPIO的原理和控制实现第9章Cortex-A9异常与中断原理及实现第10章Cortex-A9定时器原理及实现第11章Cortex-A9 DMA控制器原理及实现第12章Cortex-A9安全性扩展第13章Cortex-A9 NEON原理及实现第14章Cortex-A9外设模块结构及功能第15章Zynq-7000内的可编程逻辑资源第16章Zynq-7000内的互联结构第17章Zynq-7000 SoC内定制简单AXI-Lite IP第18章Zynq-7000 SoC内定制复杂AXI LITE IP第19章Zynq-7000 AXI HP数据传输原理及实现第20章Zynq-7000 ACP数据传输原理及实现第21章Zynq-7000软件和硬件协同调试原理及实现第22章Zynq-7000 SoC启动和配置原理及实现第23章Zynq-7000 SoC内XADC原理及实现第24章Linux开发环境的构建第25章构建Zynq-7000 SoC内Ubuntu硬件运行环境第26章构建Zynq-7000 SoC内Ubuntu软件运行环境第27章Linux环境下简单字符设备驱动程序的开发第28章Linux环境下包含中断机制驱动程序的开发第29章Linux环境下图像处理系统的构建

    标签: xilinx Zynq-7000 嵌入式系统

    上传时间: 2022-06-10

    上传用户:GGMD

  • xilinx FPGA数字信号处理视频链接

    何斌老师对数字信号处理在fpga的应用视频讲解

    标签: xilinx fpga 数字信号处理

    上传时间: 2022-06-13

    上传用户:pagedown