虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

XILINX-XUPV

  • 基于FPGA的高速高阶FIR滤波器设计

      随着雷达、图像、通信等领域对信号高速处理的要求,研究人员正寻求高速的数字信号处理算法,以满足这种高速地处理数据的需要。常用的高速实时数字信号处理的器件有ASIC、可编程的数字信号处理芯片、FPGA,等等。  本文研究了时域FPGA上实现高速高阶FIR数字滤波器结构,并实现了高压缩比的LFM脉冲信号的匹配滤波。文章根据FIR数字滤波器理论,分析比较实现了FIR滤波器的方法;使用并行分布式算法,在Xilinx的VirtexⅡFPGA系列芯片上设计了高速高阶FIR滤波器。并详细进行了分析;设计出了一个256阶的线性调频脉冲压缩信号的匹配滤波器设计实例,并用ModelSim软件进行了仿真。

    标签: FPGA FIR 滤波器设计

    上传时间: 2013-07-18

    上传用户:yt1993410

  • 基于FPGA的1024点流水线工作方式的FFT实现

    本文主要研究基于FPGA的高速流水线工作方式的FFT实现。围绕这个目标利用Xilinx公司VIRTEX_Ⅱ系列FPGA,及其提供的ISE设计工具、modelsim仿真工具、Synplify综合工具及MATLAB,完成了流水线工作方式的FFT中基于每一阶运算单元的高效复数乘法器的设计、各阶控制单元的设计、数据存储器的设计,从而完成1024点流水线工作方式的FFT,达到工作在50MHZ时钟频率的设计要求。

    标签: FPGA 1024 FFT 流水线

    上传时间: 2013-04-24

    上传用户:KSLYZ

  • 采用FPGA实现基于ATCA架构的2.5Gbps串行背板接口

    当前,在系统级互连设计中高速串行I/O技术迅速取代传统的并行I/O技术正成为业界趋势。人们已经意识到串行I/O“潮流”是不可避免的,因为在高于1Gbps的速度下,并行I/O方案已经达到了物理极限,不能再提供可靠和经济的信号同步方法。基于串行I/O的设计带来许多传统并行方法所无法提供的优点,包括:更少的器件引脚、更低的电路板空间要求、减少印刷电路板(PCB)层数、PCB布局布线更容易、接头更小、EMI更少,而且抵抗噪声的能力也更好。高速串行I/O技术正被越来越广泛地应用于各种系统设计中,包括PC、消费电子、海量存储、服务器、通信网络、工业计算和控制、测试设备等。迄今业界已经发展出了多种串行系统接口标准,如PCI Express、串行RapidIO、InfiniBand、千兆以太网、10G以太网XAUI、串行ATA等等。 Aurora协议是为私有上层协议或标准上层协议提供透明接口的串行互连协议,它允许任何数据分组通过Aurora协议封装并在芯片间、电路板间甚至机箱间传输。Aurora链路层协议在物理层采用千兆位串行技术,每物理通道的传输波特率可从622Mbps扩展到3.125Gbps。Aurora还可将1至16个物理通道绑定在一起形成一个虚拟链路。16个通道绑定而成的虚拟链路可提供50Gbps的传输波特率和最大40Gbps的全双工数据传输速率。Aurora可优化支持范围广泛的应用,如太位级路由器和交换机、远程接入交换机、HDTV广播系统、分布式服务器和存储子系统等需要极高数据传输速率的应用。 传统的标准背板如VME总线和CompactPCI总线都是采用并行总线方式。然而对带宽需求的不断增加使新兴的高速串行总线背板正在逐渐取代传统的并行总线背板。现在,高速串行背板速率普遍从622Mbps到3.125Gbps,甚至超过10Gbps。AdvancedTCA(先进电信计算架构)正是在这种背景下作为新一代的标准背板平台被提出并得到快速的发展。它由PCI工业计算机制造商协会(PICMG)开发,其主要目的是定义一种开放的通信和计算架构,使它们能被方便而迅速地集成,满足高性能系统业务的要求。ATCA作为标准串行总线结构,支持高速互联、不同背板拓扑、高信号密度、标准机械与电气特性、足够步线长度等特性,满足当前和未来高系统带宽的要求。 采用FPGA设计高速串行接口将为设计带来巨大的灵活性和可扩展能力。Xilinx Virtex-IIPro系列FPGA芯片内置了最多24个RocketIO收发器,提供从622Mbps到3.125Gbps的数据速率并支持所有新兴的高速串行I/O接口标准。结合其强大的逻辑处理能力、丰富的IP核心支持和内置PowerPC处理器,为企业从并行连接向串行连接的过渡提供了一个理想的连接平台。 本文论述了采用Xilinx Virtex-IIPro FPGA设计传输速率为2.5Gbps的高速串行背板接口,该背板接口完全符合PICMG3.0规范。本文对串行高速通道技术的发展背景、现状及应用进行了简要的介绍和分析,详细分析了所涉及到的主要技术包括线路编解码、控制字符、逗点检测、扰码、时钟校正、通道绑定、预加重等。同时对AdvancedTCA规范以及Aurora链路层协议进行了分析, 并在此基础上给出了FPGA的设计方法。最后介绍了基于Virtex-IIPro FPGA的ATCA接口板和MultiBERT设计工具,可在标准ATCA机框内完成单通道速率为2.5Gbps的全网格互联。

    标签: FPGA ATCA Gbps 2.5

    上传时间: 2013-05-29

    上传用户:frank1234

  • 基于FPGA的高速IPSec协议实现技术研究

    随着国际互联网络的迅猛发展,网络应用的不断丰富,Intenret已经从最初以学术交流为目的而演变为商业行为,网络安全性需求日益增加,高速网络安全保密成为关注的焦点,在安全得到保障的情况下,为了满足网速无限制的追求,高速网络硬件加密设备也必将成为需求热点。另一方面,IPSec协议被广泛的应用于防火墙和安全网关中,但对IPSec协议的处理会大大增加网关的负载,成为千兆网实现的瓶颈。本文便是针对上述现状,研究基于高性能FPGA实现千兆IPSec协议的设计技术。 目前,国外IPSec协议实现已经芯片化,达到几千兆的速率,但是国内产品多以软件实现,速度难以提高。本文采用的基于FPGA的IPSec技术方案,采用硬件实现隧道模式下的IPSec协议,为IP分组及其上层协议数据提供机密性、数据完整性验证以及数据源验证等安全服务。在以VPN为实施方案的基础上,构建了以KDIPSec为设备原型以IPSec协议为出发点的千兆网络系统环境模型,从硬件体系结构到各个模块的划分以及各个模块实现的功能这几个方面描述了KDIPSec实现技术,最后描述了一些关键模块的FPGA设计和和仿真。所有处理模块均在Xilinx公司的FPGA芯片中实现,处理速率超过1Gb/s。

    标签: IPSec FPGA 协议 实现技术

    上传时间: 2013-07-03

    上传用户:wfl_yy

  • 基于FPGA的8051 IP核的设计

    本文探索了自主系统CPU设计方法和经验,同时对80C51产品进行了必要的改进。 文章采用XILINX公司的Virtex-ⅡPro系列FPGA芯片,在相关EDA软件平台的支持下进行基于FPGA的8051芯片的设计。在已公开的8051源代码的基础上,对其中的程序存储器、指令存储器做了较大幅度的修改,增加了定时器、串行收发器的软件编写,VerilogHDL语句共6000余行(见附录光盘)。在设计中笔者特别的注意了源代码中组合逻辑循环的去除,时序设计中合理确定建立时间和保持时间,保证了工作频率的提高(工作频率由12MHz提高到约30MHz),串行收发器的下载实验验证了该模块频率的提高。对设计高频CPU提供了有益的借鉴。本文利用Modelsim进行了功能仿真和后仿真,利用Synplify进行了综合,仿真和综合结果达到了设计的预期要求,并为下载和组成系统作了准备工作(设计了外围电路的PCB板图)。

    标签: FPGA 8051 IP核

    上传时间: 2013-06-28

    上传用户:梧桐

  • 软件无线电调制解调系统的研究及其FPGA实现

    软件无线电是二十世纪九十年代提出的一种实现无线通信的体系结构,被认为是继模拟通信、数字通信之后的第三代无线电通信技术。它的中心思想是:构造一个开放性、标准化、模块化的通用硬件平台,并使宽带模数和数模转换器尽可能靠近天线,从而将各种功能,如工作频段、调制解调类型、数据格式、加密模式、通信协议等用软件来完成。 本论文首先介绍了软件无线电的基本原理和三种结构形式,综述了软件无线电的几项关键技术及其最新研究进展。其中调制解调模块是软件无线电系统中的重要部分,集中体现了软件无线电最显著的优点——灵活性。目前这一部分的技术实现手段多种多样。随着近几年来芯片制造工艺的飞速发展,可编程器件FPGA以其高速的处理性能、高容量和灵活的可重构能力,成为实现软件无线电技术的重要手段。 本论文调制解调系统的设计,选择有代表性的16QAM和QPSK两种方式作为研究对象,采用SystemView软件作为系统级开发工具进行集成化设计。在实现系统仿真和FPGA整体规划后,着重分析用VHDL实现其中关键模块以及利用嵌入FPGA的CPU核控制调制解调方式转换的方法。同时,在设计中成功地调用了Xilinx公司的IP核,实现了设计复用。由于FPGA内部逻辑可以根据需要进行重构,因而硬件的调试和升级变得很容易,而内嵌CPU使信号处理过程可以用软件进行控制,充分体现了软件无线电的灵活性。 通过本论文的研究,初步验证了在FPGA内实现数字调制解调过程及控制的技术可行性和应用的灵活性,并对将来的扩展问题进行了研究和讨论,为实现完整的软件无线电系统奠定了基础。

    标签: FPGA 软件无线电 调制解调

    上传时间: 2013-06-10

    上传用户:xhz1993

  • IEEE 802.16a RS-CC编译码VLSI算法研究及FPGA实现

      本论文依据IEEE802.16a物理层对RS-CC码的参数要求,研究了RS-CC码的高速编、译码的VLSI硬件算法,同时对FPGA开发技术进行了研究,以VerilogHDL为描述语言,在Xilinx公司的FPGA上实现了高速的RS-CC编、译码器。RS译码器中,错误位置多项式和错误值多项式的求解采用无求逆单元,并具有规则数据流、易于VLSI实现的改进的欧几里德算法(MEA);CC译码器由采用模归一化路径度量的全并行的“加比选(ACS)”模块和具有脉动阵列结构的幸存路径回溯模块组成。  在实现RS-CC译码器的过程中,分别从算法上和根据FPGA的结构特点上,对译码器做了一些优化工作,降低了硬件资源占有率和提高了译码速度。  此外,还搭建了以Xilinx公司40万等效门的FPGASpartan-Ⅲ400-4PQ208为主体,以Cypress公司的USB2.0芯片CY7C68013为高速数据接口的硬件试验平台,并在此试验平台上实现了文中的高速RS-CC编译码系统。

    标签: 802.16 RS-CC IEEE FPGA

    上传时间: 2013-06-03

    上传用户:lx9076

  • EXCD-1+开发板原理图

    xilinx的开发板原理图,xc3s500e

    标签: EXCD 开发板原理图

    上传时间: 2013-04-24

    上传用户:dialouch

  • SYSTEMVIEW教材

    SystemView的库资源十分丰富,包括含若干图标的基本库(Main Library)及专业库(Optional Library),基本库中包括多种信号源、接收器、加法器、乘法器,各种函数运算器等;专业库有通讯(Communication)、逻辑(Logic)、数字信号处理(DSP)、射频/模拟(RF/Analog)等;它们特别适合于现代通信系统的设计、仿真和方案论证,尤其适合于无线电话、无绳电话、寻呼机、调制解调器、卫星通讯等通信系统;并可进行各种系统时域和频域分析、谱分析,及对各种逻辑电路、射频/模拟电路(混合器、放大器、RLC电路、运放电路等)进行理论分析和失真分析。   System View能自动执行系统连接检查,给出连接错误信息或尚悬空的待连接端信息,通知用户连接出错并通过显示指出出错的图标。这个特点对用户系统的诊断是十分有效的。   System View的另一重要特点是它可以从各种不同角度、以不同方式,按要求设计多种滤波器,并可自动完成滤波器各指标—如幅频特性(伯特图)、传递函数、根轨迹图等之间的转换。   在系统设计和仿真分析方面,System View还提供了一个真实而灵活的窗口用以检查、分析系统波形。在窗口内,可以通过鼠标方便地控制内部数据的图形放大、缩小、滚动等。另外,分析窗中还带有一个功能强大的“接收计算器”,可以完成对仿真运行结果的各种运算、谱分析、滤波。   System View还具有与外部文件的接口,可直接获得并处理输入/输出数据。提供了与编程语言VC++或仿真工具Matlab的接口,可以很方便的调用其函数。还具备与硬件设计的接口:与Xilinx公司的软件Core Generator配套,可以将System View系统中的部分器件生成下载FPGA芯片所需的数据文件;另外,System View还有与DSP芯片设计的接口,可以将其DSP库中的部分器件生成DSP芯片编程的C语言源代码。

    标签: SYSTEMVIEW 教材

    上传时间: 2013-04-24

    上传用户:doudouzdz

  • protelxilinx.zip

    Protel原理图需要的Xilinx元件库

    标签: protelxilinx zip

    上传时间: 2013-07-07

    上传用户:yatouzi118