虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

SdRAM

同步动态随机存取内存(synchronousdynamicrandom-accessmemory,简称SdRAM)是有一个同步接口的动态随机存取内存(DRAM)。通常DRAM是有一个异步接口的,这样它可以随时响应控制输入的变化。而SdRAM有一个同步接口,在响应控制输入前会等待一个时钟信号,这样就能和计算机的系统总线同步。时钟被用来驱动一个有限状态机,对进入的指令进行管线(Pipeline)操作。这使得SdRAM与没有同步接口的异步DRAM(asynchronouSdRAM)相比,可以有一个更复杂的操作模式。
  • 对vga接口做了详细的介绍

    对vga接口做了详细的介绍,并且有一 ·三段式Verilog的IDE程序,但只有DMA ·电子密码锁,基于fpga实现,密码正 ·IIR、FIR、FFT各模块程序设计例程, ·基于逻辑工具的以太网开发,基于逻 ·自己写的一个测温元件(ds18b20)的 ·光纤通信中的SDH数据帧解析及提取的 ·VHDL Programming by Example(McGr ·这是CAN总线控制器的IP核,源码是由 ·FPGA设计的SdRAM控制器,有仿真代码 ·xilinx fpga 下的IDE控制器原代码, ·用verilog写的,基于查表法实现的LO ·精通verilog HDL语言编

    标签: vga 接口

    上传时间: 2014-12-04

    上传用户:colinal

  • 每个代码见压缩包内文件名

    每个代码见压缩包内文件名,分别为使用单片机控制AD9627的代码,已在硬件电路实现;基于FPGA的DDR SdRAM控制源代码,将文件夹内文件加入同一工程即可;以及三份FPGA内部学习资料。 C代码开发环境为KeilC,verilog代码开发环境为Quartus。

    标签: 代码

    上传时间: 2017-09-02

    上传用户:ruixue198909

  • This manual describes SAMSUNG s S3C2410X 16/32-bit RISC microprocessor. This product is designed to

    This manual describes SAMSUNG s S3C2410X 16/32-bit RISC microprocessor. This product is designed to provide hand-held devices and general applications with cost-effective, low-power, and high-performance microcontroller solution in small die size. To reduce total system cost, the S3C2410X includes the following components separate 16KB Instruction and 16KB Data Cache, MMU to handle virtual memory management, LCD Controller (STN & TFT), NAND Flash Boot Loader, System Manager (chip select logic and SdRAM Controller), 3-ch UART, 4-ch DMA, 4-ch Timers with PWM, I/O Ports, RTC, 8-ch 10-bit ADC and Touch Screen Interface, IIC-BUS Interface, IIS-BUS Interface, USB Host, USB Device, SD Host & Multi-Media Card Interface, 2-ch SPI and PLL for clock generation.

    标签: This microprocessor describes S3C2410X

    上传时间: 2014-01-11

    上传用户:shizhanincc

  • DSP Program

    DSP 初始化EMIF SdRAM 和 FLASH测试代码,已测试成功!

    标签: DSP,SdRAM

    上传时间: 2015-03-05

    上传用户:胖飞smile

  • 高级FPGA教学实验指导书-逻辑设计

    第一章、ALTERA QUATUSII 5.0 使用介绍...................................... 3 1. 概述.................................................................. 3 2. QUATUSII 设计过程..................................................... 5 2.1. 建立工程.......................................................... 5 2.2. 建立设计.......................................................... 6 2.2.1 使用QUATUSII BLOCK EDITOR 建立原理图文件.............................. 7 2.2、2 使用 QUARTUS II TEXT EDITOR .......................................... 8 2.2.3 使用 VERILOG HDL、VHDL 与 AHDL ...................................... 9 3. 编译综合设计.......................................................... 9 4. 仿真工程............................................................. 11 5. 分配设备与管脚....................................................... 12 6. 程序下载............................................................. 15 7. 调试与软件逻辑分析仪的使用........................................... 16 7.1. 设置和运行 SIGNALTAP II 逻辑分析器................................. 17 7.2. 设置触发器: ..................................................... 18 第二章 FPGA 试验平台介绍................................................. 19 1 简介................................................................... 19 2 主要的器件和特性....................................................... 19 3 LED,拨码开关和按键................................................... 21 3.1 十二个发光二极管(LED)七段数码显示器.............................. 21 3.2 四位拨码开关和两个功能按键......................................... 24 4 RS-232 串口............................................................ 24 5 PS/2 鼠标、键盘接口.................................................... 26 6 VGA 接口.............................................................. 26 7 USB1.1 接口........................................................... 26 8 LCD 接口.............................................................. 27 9 高速,异步SRAM ....................................................... 27 10 高速,同步SdRAM ...................................................... 33 11 大容量,快速FLASH .................................................... 35 12 USB2.0 芯片接口....................................................... 38 13 编程和调试接口....................................................... 39 14 时钟源............................................................... 39 15 电源方案............................................................. 41 16 复位电路............................................................. 42 17 扩展板接口........................................................... 42 第三章 数字电路与数字系统试验........................................... 45 第一部分 基础试验....................................................... 45 实验一 3/8 译码器....................................................... 45 实验二 分频器........................................................... 47 实验三 BCD 七段显示译码器实验............................................ 47 实验四 模拟74LS160 计数器实验........................................... 50 实验五 交通灯控制器..................................................... 51 实验六 乒乓球游戏机..................................................... 52 试验七 扫描数码显示器................................................... 54 试验八 频率计........................................................... 56 第二部分 接口控制器试验................................................. 58 试验九 RS-232 串口控制器................................................. 58 试验十 LCD 显示试验...................................................... 60 试验十一 VGA 控制输出试验............................................... 64 试验十二 PS/2 键盘控制器试验............................................ 66 试验十三 接口互连试验................................................... 69

    标签: FPGA

    上传时间: 2015-10-08

    上传用户:shzweh1234

  • nios II 外部中断

    贴出来和大家分享一下,文中借鉴来自互联网和书籍 硬件平台:康草EP2C5-V5        FPGA :EP2C5Q208C8N               SdRAM:K4S641632k               Flash:JS28F640               4位led,输入0时亮      1位按键,有上拉,平时为高电平状态 软件平台:Microsoft Windows xp Professional sp3(深度D版)               Quartus II 10.0 SP1 Build: 262                Nios II IDE 10.0 SP1 Build: 262

    标签: nios II 外部中断

    上传时间: 2018-10-31

    上传用户:残红一号

  • FPGA读写SD卡读取BMP图片通过LCD显示例程实验 Verilog逻辑源码Quartus工程文件

    FPGA读写SD卡读取BMP图片通过LCD显示例程实验 Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。1 实验简介在前面的实验中我们练习了 SD 卡读写,VGA 视频显示等例程,本实验将 SD 卡里的 BMP 图片读出,写入到外部存储器,再通过 VGA、LCD 等显示。本实验如果通过液晶屏显示,需要有液晶屏模块。2 实验原理在前面的实验中我们在 VGA、LCD 上显示的是彩条,是 FPGA 内部产生的数据,本实验将彩条替换为 SD 内的 BMP 图片数据,但是 SD 卡读取速度远远不能满足显示速度的要求,只能先写入外部高速 RAM,再读出后给视频时序模块显示module top( input                       clk, input                       rst_n, input                       key1, output [5:0]                seg_sel, output [7:0]                seg_data, output                      vga_out_hs,        //vga horizontal synchronization output                      vga_out_vs,        //vga vertical synchronization output[4:0]                 vga_out_r,         //vga red output[5:0]                 vga_out_g,         //vga green output[4:0]                 vga_out_b,         //vga blue output                      sd_ncs,            //SD card chip select (SPI mode) output                      sd_dclk,           //SD card clock output                      sd_mosi,           //SD card controller data output input                       sd_miso,           //SD card controller data input output                      SdRAM_clk,         //SdRAM clock output                      SdRAM_cke,         //SdRAM clock enable output                      SdRAM_cs_n,        //SdRAM chip select output                      SdRAM_we_n,        //SdRAM write enable output                      SdRAM_cas_n,       //SdRAM column address strobe output                      SdRAM_ras_n,       //SdRAM row address strobe output[1:0]                 SdRAM_dqm,         //SdRAM data enable output[1:0]                 SdRAM_ba,          //SdRAM bank address output[12:0]                SdRAM_addr,        //SdRAM address inout[15:0]                 SdRAM_dq           //SdRAM data);parameter MEM_DATA_BITS         = 16  ;            //external memory user interface data widthparameter ADDR_BITS             = 24  

    标签: fpga

    上传时间: 2021-10-27

    上传用户:ibeikeleilei

  • 高分辨率液晶显示控制芯片RA8889ML3N内置AVI视频解码

    RA8889ML3N是一款低功耗及显示功能强大的彩色 TFT 控制器,内部具有内存 SdRAM,为了可以快速为显示内存进行屏幕更新, RA8889 支持 MCU 端 8080/6800 8/16-bit 异步并列接口与 3/4 线 SPI 及 IIC串行接口,提供多段的显示内存缓冲区段,并提供画中画 (PIP)、透明度控制与显示旋转镜像及内建 JPEG & AVI 视频解码功能,支持AVI显示的自动播放、暂停和停止功能。*RA8889ML3N支持 16/18/24-bit CMOS 接口屏幕 *RA8889ML3N支持以下分辨率,最大可支持1366X800像素:

    标签: 液晶显示 控制芯片

    上传时间: 2021-12-08

    上传用户:jason_vip1

  • FPGA读取OV5640摄像头数据并通过VGA或LCD屏显示输出的Verilog逻辑源码Quartu

    FPGA读取OV5640摄像头数据并通过VGA或LCD屏显示输出的Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。module top( input                       clk, input                       rst_n, output                      cmos_scl,          //cmos i2c clock inout                       cmos_sda,          //cmos i2c data input                       cmos_vsync,        //cmos vsync input                       cmos_href,         //cmos hsync refrence,data valid input                       cmos_pclk,         //cmos pxiel clock output                      cmos_xclk,         //cmos externl clock input   [7:0]               cmos_db,           //cmos data output                      cmos_rst_n,        //cmos reset output                      cmos_pwdn,         //cmos power down output                      vga_out_hs,        //vga horizontal synchronization output                      vga_out_vs,        //vga vertical synchronization output[4:0]                 vga_out_r,         //vga red output[5:0]                 vga_out_g,         //vga green output[4:0]                 vga_out_b,         //vga blue output                      SdRAM_clk,         //SdRAM clock output                      SdRAM_cke,         //SdRAM clock enable output                      SdRAM_cs_n,        //SdRAM chip select output                      SdRAM_we_n,        //SdRAM write enable output                      SdRAM_cas_n,       //SdRAM column address strobe output                      SdRAM_ras_n,       //SdRAM row address strobe output[1:0]                 SdRAM_dqm,         //SdRAM data enable output[1:0]                 SdRAM_ba,          //SdRAM bank address output[12:0]                SdRAM_addr,        //SdRAM address inout[15:0]                 SdRAM_dq           //SdRAM data);

    标签: fpga ov5640 摄像头

    上传时间: 2021-12-18

    上传用户:yiyewumian

  • LPDDR4 SdRAM 手册

    这是一份micron ddr spec仅相关SI测试工程师测试参考

    标签: ddr

    上传时间: 2021-12-31

    上传用户:lostxc