虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

S1

  • java面试笔试题大汇总 ~很全面 java面试笔试题大汇总 第一

    java面试笔试题大汇总 ~很全面 java面试笔试题大汇总 第一,谈谈final, finally, finalize的区别。   最常被问到。   第二,Anonymous Inner Class (匿名内部类) 是否可以extends(继承)其它类,是否可以implements(实现)interface(接口)?   第三,Static Nested Class 和 Inner Class的不同,说得越多越好(面试题有的很笼统)。   第四,&和&&的区别。 这个问得很少。     第五,HashMap和Hashtable的区别。   常问。     第六,Collection 和 Collections的区别。   你千万别说一个是单数一个是复数。     第七,什么时候用assert。   API级的技术人员有可能会问这个。     第八,GC是什么? 为什么要有GC?   基础。     第九,String s = new String("xyz") 创建了几个String Object?     第十,Math.round(11.5)等於多少? Math.round(-11.5)等於多少?     第十一,short S1 = 1 S1 = S1 + 1 有什么错? short S1 = 1 S1 += 1 有什么错?   面试题都是很变态的,要做好受虐的准备。     第十二,sleep() 和 wait() 有什么区

    标签: java 笔试题

    上传时间: 2017-05-20

    上传用户:坏坏的华仔

  • 功能描述: 开机后在每1、2位数码管显示00

    功能描述: 开机后在每1、2位数码管显示00,D8点亮表示目前处于调整地址的状态 此时按S1和S2,第1、2位数码管的数据从00~FF变化 此时若按下S4键,则读出1、2位所示数据作为地址的EEPROM中的值,显示在第5、6 位上 按下S3键,D7点亮,表示进入调整数据状态,此时第5、6位数码管点亮显示值与第 1、2位同 按下S1和S2,第5、6位上的数据从00~FF变化 此时若按下S4键,则将5、6位上的数据写入第1、2位指定的地址单元中

    标签: 功能描述 开机 数码管显示

    上传时间: 2013-12-16

    上传用户:来茴

  • 数字密码锁:S0是复位状态:密码为00000001

    数字密码锁:S0是复位状态:密码为00000001,关锁。 S1是开锁状态。 S2是修改密码状态:将输入的code作为新密码,关锁。硬件用FPGA2000实现

    标签: 00000001 数字密码锁 复位 密码

    上传时间: 2013-12-21

    上传用户:xinyuzhiqiwuwu

  • 移位运算器SHIFTER 使用Verilog HDL 语言编写

    移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制,显示在数码管LED8 上 D[7..0]是移位数据输入,由键2 和1 控制,显示在数码管2 和1 上 QB[7..0]是移位数据输出,显示在数码管6 和5 上:cn 是移位数据输出进位,显示在数码管7 上。

    标签: SHIFTER Verilog HDL 移位

    上传时间: 2014-01-16

    上传用户:wys0120

  • String int 字符串常量池 包装类型 函数参数 值传递引用传递 的 内存分配例子——源码 代码段: public static void fun_ref (Ref_test ref_

    String int 字符串常量池 包装类型 函数参数 值传递引用传递 的 内存分配例子——源码 代码段: public static void fun_ref (Ref_test ref_out){ Ref_test ref_in=new Ref_test() ref_in.S1="in" //ref_out.S1="out" ref_out=ref_in //漏洞!!ref_out 指向ref_in , //那么当函数退出后,ref_out就会自动指向原来的堆!!! System.out.println("fun_ref() ref_out.S1="+ref_out.S1) }

    标签: Ref_test fun_ref String public

    上传时间: 2013-12-27

    上传用户:ls530720646

  • 目的: 1.通过波形产生的例子掌握D/A转换的方法。 2.了解各种波形发生器的编程实现。 实验的按键通过A口输入

    目的: 1.通过波形产生的例子掌握D/A转换的方法。 2.了解各种波形发生器的编程实现。 实验的按键通过A口输入,转换结果由DAC1和DAC2引脚输出。在实验中,可以将两个输出接至示波器和扬声器,通过示波器可以观察波形输出,另外可以听到扬声器持续间断的声音。 按S1将产生锯齿波,按S2产生三角波,按S3产生正弦波。

    标签: 波形产生 实验 按键 转换

    上传时间: 2013-12-22

    上传用户:youke111

  • (1)抢答器同时供4名选手比赛

    (1)抢答器同时供4名选手比赛,分别用4个按钮S1 ~ S4表示; (2)设置一个系统清除和抢答控制开关S5,该开关由主持人控制; (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除。

    标签: 抢答器

    上传时间: 2017-09-14

    上传用户:lindor

  • 16qam

    16qam 随着现代通信技术的发展, 特别是移动通信技术高速发展, 频带利用率问题 越来越被人们关注。 在频谱资源非常有限的今天, 传统通信系统的容量已经不能 满足当前用户的要求。正交幅度调制 QAM(Quadrature Amplitude Modulation) 以其高频谱利用率、 高功率谱密度等优势, 成为宽带无线接入和无线视频通信的 重要技术方案。 随着现代通信技术的发展, 特别是移动通信技术高速发展, 频带利用率问题 越来越被人们关注。 在频谱资源非常有限的今天, 传统通信系统的容量已经不能 满足当前用户的要求。正交幅度调制 QAM(Quadrature Amplitude Modulation) 以其高频谱利用率、 高功率谱密度等优势, 成为宽带无线接入和无线视频通信的 重要技术方案。

    标签: qam 16

    上传时间: 2016-06-26

    上传用户:guh000

  • 1602数字时钟

    # include < reg52.h > # include < 24C02.h> # define uint unsigned int # define uchar unsigned char   sbit rs = P3^5;  //定义lcd1602的rs端 sbit lcden = P3^4;//定义lcd1602的lcden端口 sbit S1 = P3^0;//定义功能键S1 sbit s2 = P3^1;//定义功能键s2 sbit s3 = P3^2;//定义功能键s3   sbit beep = P2^3;//定义蜂鸣器   uchar count, S1num; char miao,fen,shi; uchar code table[] = "Designer:X_ZL ";//定义初始上电时液晶默认显示状态     void delay_ms( xms )   //定义延时函数 { uint i,j; for( i = xms ; i > 0 ; i --) for( j = 110 ; j > 0 ; j --); }  

    标签: 1602 数字时钟程序

    上传时间: 2016-07-28

    上传用户:游戏好吗

  • VHDL4选1数据选择器

    VHDL编写的4选一数据选择器 entity mux41a is        port(a,b:in std_logic;                S1,s2,s3,s4:in std_logic;                y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0);

    标签: VHDL 数据选择器

    上传时间: 2020-05-15

    上传用户:cdga