虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

One-class

  • IEC62055

    Standard transfer specification (STS) –Physical layer protocol for one-way numeric and magnetic card token carriers .

    标签: 62055 IEC AMI

    上传时间: 2017-02-04

    上传用户:aarons大叔

  • Fire and fury

    Fire and Fury。 This article is about the 2018 book. For other uses, see Fire and Fury (disambiguation). The title refers to a quote by Trump about the conflict with North Korea. The book became a New York Times number one bestseller.Fire and Fury: Inside the Trump White House is a 2018 book by Michael Wolff which details the behavior of U.S. President Donald Trump and the staff of his 2016 presidential campaign and White House. The book highlights descriptions of Trump's behavior, chaotic interactions among senior White House staff, and derogatory comments about the Trump family by former White House Chief StrategistSteve Bannon. Trump is depicted as being held in low regard by his White House staff, leading Wolff to state that "100% of the people around him" believe Trump is unfit for office.[1] Reviewers generally accepted Wolff's portrait of a dysfunctional Trump administration, but were skeptical of many of the book's most controversial claims.

    标签: Fire fury and

    上传时间: 2018-02-26

    上传用户:Yoobaobao

  • Fire and fury

    This article is about the 2018 book. For other uses, see Fire and Fury (disambiguation). The title refers to a quote by Trump abot the conflict with North Korea. The book became a New York Times number one bestseller.Fire and Fury: Inside the Trump White House is a 2018 book by Michael Wolff which details the behavior of U.S. President Donald Trump and the staff of his 2016 presidential campaign and White House. The book highlights descriptions of Trump's behavior, chaotic interactions among senior White House staff, and derogatory comments about the Trump family by former White House Chief StrategistSteve Bannon. Trump is depicted as being held in low regard by his White House staff, leading Wolff to state that "100% of the people around him" believe Trump is unfit for office.[1] Reviewers generally accepted Wolff's portrait of a dysfunctional Trump administration, but were skeptical of many of the book's most controversial claims.

    标签: Fire fury and

    上传时间: 2018-02-26

    上传用户:Yoobaobao

  • VHDL4选1数据选择器

    VHDL编写的4选一数据选择器 entity mux41a is        port(a,b:in std_logic;                s1,s2,s3,s4:in std_logic;                y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0);

    标签: VHDL 数据选择器

    上传时间: 2020-05-15

    上传用户:cdga

  • Software+Radio

    Software Radio (SR) is one of the most important emerging technologies for the future of wireless communication services. By moving radio functionality into software, it promises to give flexible radio systems that are multi-service, multi- standard, multi-band, reconfigurable and reprogrammable by software. Today’s radios are matched to a particular class of signals that are well defined bytheircarrierfrequencies,modulationformatsandbandwidths.Aradiotransmitter today can only up convert signals with well-defined bandwidths over defined center frequencies, while, on the other side of the communication chain, a radio receiver can only down convert well-defined signal bandwidths, transmitted over specified carrier frequencies.

    标签: Software Radio

    上传时间: 2020-06-01

    上传用户:shancjb

  • Data Science Programming All-In-One

    Data science is a term that the media has chosen to minimize, obfuscate, and sometimes misuse. It involves a lot more than just data and the science of working with data. Today, the world uses data science in all sorts of ways that you might not know about, which is why you need  Data Science Programming All-in-One For Dummies 

    标签: Programming All-In-One Science Data

    上传时间: 2020-06-10

    上传用户:shancjb

  • C class Design Handbook 书和源码

    C++类设计基础教程以及例子源代码。 C Class Design Handbook: Coding Effective Classes by Richard Conway (z-lib.org)_NoRestriction

    标签: Handbook Design class 源码

    上传时间: 2021-01-25

    上传用户:

  • java-class反编译工具-jd-gui.exe

    class反编译工具可查看class文件

    标签: java-class jd-gui exe 反编译

    上传时间: 2021-10-09

    上传用户:oycf

  • RC SNUBBER消除DC电源及Class-D中的振铃

    RC SNUBBER消除DC电源及Class-D中的振铃

    标签: 电源

    上传时间: 2022-03-01

    上传用户:

  • EPLAN Engineering Center One 用户手册

    EPLAN EEC ONE的中文版手册,属于eplan的高端应用。

    标签: eplan

    上传时间: 2022-05-05

    上传用户: