虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

Multiple-input-Multiple-Output

  • Arduino应用_Arduino连接超声波传感器测距

    超声波传感器适用于对大幅的平面进行静止测距。普通的超声波传感器测距范围大概是 2cm~450cm,分辨率3mm(淘宝卖家说的,笔者测试环境没那么好,个人实测比较稳定的 距离10cm~2m 左右,超过此距离就经常有偶然不准确的情况发生了,当然不排除笔者技术 问题。) 测试对象是淘宝上面最便宜的SRF-04 超声波传感器,有四个脚:5v 电源脚(Vcc),触发控制端(Trig),接收端(Echo),地端(GND) 附:SRF 系列超声波传感器参数比较   模块工作原理: 采用IO 触发测距,给至少10us 的高电平信号; 模块自动发送8个40KHz 的方波,自动检测是否有信号返回; 有信号返回,通过IO 输出一高电平,高电平持续的时间就是超声波从发射到返回的时间.测试距离=(高电平时间*声速(340m/s))/2; 电路连接方法   Arduino 程序例子: constintTrigPin = 2; constintEchoPin = 3; floatcm; voidsetup() { Serial.begin(9600); pinMode(TrigPin, OUTPUT); pinMode(EchoPin, INPUT); } voidloop() { digitalWrite(TrigPin, LOW); //低高低电平发一个短时间脉冲去TrigPin delayMicroseconds(2); digitalWrite(TrigPin, HIGH); delayMicroseconds(10); digitalWrite(TrigPin, LOW); cm = pulseIn(EchoPin, HIGH) / 58.0; //将回波时间换算成cm cm = (int(cm * 100.0)) / 100.0; //保留两位小数 Serial.print(cm); Serial.print("cm"); Serial.println(); delay(1000); }

    标签: Arduino 连接 超声波传感器

    上传时间: 2013-10-18

    上传用户:星仔

  • MAXQUSBJTAGOW评估板软件

    MAXQUSBJTAGOW评估板软件:关键特性 Easily Load and Debug Code Interface Provides In-Application Debugging Features Step-by-Step Execution Tracing Breakpointing by Code Address, Data Memory Address, or Register Access Data Memory View and Edit Supports Logic Levels from 1.1V to 3.6V Supports JTAG and 1-Wire Protocols Each Adapter Has Its Own Unique Serial ID, Allowing Multiple Adapters to be Connected Without COM Port Conflicts Has In-Field Upgradable Capability if Firmware Needs to be Upgraded Enclosure Protects from Shorts and ESD

    标签: MAXQUSBJTAGOW 评估板 软件

    上传时间: 2013-11-23

    上传用户:truth12

  • 怎样使用Nios II处理器来构建多处理器系统

    怎样使用Nios II处理器来构建多处理器系统 Chapter 1. Creating Multiprocessor Nios II Systems Introduction to Nios II Multiprocessor Systems . . . . . . . . . . . . . . 1–1 Benefits of Hierarchical Multiprocessor Systems  . . . . . . . . . . . . . . . 1–2 Nios II Multiprocessor Systems . . . . . . . . . . . . . . . . . . . .  . . . . . . . . . . . . . 1–2 Multiprocessor Tutorial Prerequisites   . . . . . . . . . . .  . . . . . . . . . . . . 1–3 Hardware Designs for Peripheral Sharing   . . . . . . . . . . . .. . . . . . . . 1–3 Autonomous Multiprocessors   . . . . . . . . . . . . . . . . . . . . . .  . . . . . . . 1–3 Multiprocessors that Share Peripherals . . . . . . . . . . . . . . . . . . . . . . 1–4 Sharing Peripherals in a Multiprocessor System   . . . . . . . . . . . . . . . . . 1–4 Sharing Memory  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–6 The Hardware Mutex Core  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–7 Sharing Peripherals   . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . 1–8 Overlapping Address Space  . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–8 Software Design Considerations for Multiple Processors . . .. . . . . 1–9 Program Memory  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–9 Boot Addresses  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 1–13 Debugging Nios II Multiprocessor Designs  . . . . . . . . . . . . . . . .  1–15 Design Example: The Dining Philosophers’ Problem   . . . . .. . . 1–15 Hardware and Software Requirements . . . . . . . . . . . . . . . .. . . 1–16 Installation Notes  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–17 Creating the Hardware System   . . . . . . . . . . . . . . .. . . . . . 1–17 Getting Started with the multiprocessor_tutorial_start Design Example   1–17 Viewing a Philosopher System   . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . 1–18 Philosopher System Pipeline Bridges  . . . . . . . . . . . . . . . . . . . . . 1–19 Adding Philosopher Subsystems   . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–21 Connecting the Philosopher Subsystems  . . . . . . . . . . . . .. . . . . 1–22 Viewing the Complete System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–27 Generating and Compiling the System   . . . . . . . . . . . . . . . . . .. 1–28

    标签: Nios 处理器 多处理器

    上传时间: 2013-11-21

    上传用户:lo25643

  • XAPP144 -设计CPLD多电压系统

    Today’s digital systems combine a myriad of chips with different voltage configurations.Designers must interface 2.5V processors with 3.3V memories—both RAM and ROM—as wellas 5V buses and multiple peripheral chips. Each chip has specific power supply needs. CPLDsare ideal for handling the multi-voltage interfacing, but do require forethought to ensure correctoperation.

    标签: XAPP CPLD 144 电压

    上传时间: 2013-11-10

    上传用户:yy_cn

  • XAPP953-二维列序滤波器的实现

      This application note describes the implementation of a two-dimensional Rank Order filter. Thereference design includes the RTL VHDL implementation of an efficient sorting algorithm. Thedesign is parameterizable for input/output precision, color standards, filter kernel size,maximum horizontal resolution, and implementation options. The rank to be selected can bemodified dynamically, and the actual horizontal resolution is picked up automatically from theinput synchronization signals. The design has a fully synchronous interface through the ce, clk,and rst ports.

    标签: XAPP 953 二维 滤波器

    上传时间: 2013-12-14

    上传用户:逗逗666

  • 基于CPLD的QDPSK调制解调电路设计

    为了在CDMA系统中更好地应用QDPSK数字调制方式,在分析四相相对移相(QDPSK)信号调制解调原理的基础上,设计了一种QDPSK调制解调电路,它包括串并转换、差分编码、四相载波产生和选相、相干解调、差分译码和并串转换电路。在MAX+PLUSⅡ软件平台上,进行了编译和波形仿真。综合后下载到复杂可编程逻辑器件EPM7128SLC84-15中,测试结果表明,调制电路能正确选相,解调电路输出数据与QDPSK调制输入数据完全一致,达到了预期的设计要求。 Abstract:  In order to realize the better application of digital modulation mode QDPSK in the CDMA system, a sort of QDPSK modulation-demodulation circuit was designed based on the analysis of QDPSK signal modulation-demodulation principles. It included serial/parallel conversion circuit, differential encoding circuit, four-phase carrier wave produced and phase chosen circuit, coherent demodulation circuit, difference decoding circuit and parallel/serial conversion circuit. And it was compiled and simulated on the MAX+PLUSⅡ software platform,and downloaded into the CPLD of EPM7128SLC84-15.The test result shows that the modulation circuit can exactly choose the phase,and the output data of the demodulator circuit is the same as the input data of the QDPSK modulate. The circuit achieves the prospective requirement of the design.

    标签: QDPSK CPLD 调制解调 电路设计

    上传时间: 2013-10-28

    上传用户:jyycc

  • 基于EKF的异步电机直接转矩控制系统

    为了提高直接转矩控制(DTC)系统定子磁链估计精度,降低电流、电压测量的随机误差,提出了一种基于扩展卡尔曼滤波(EKF)实现异步电机转子位置和速度估计的方法。扩展卡尔曼滤波器是建立在基于旋转坐标系下由定子电流、电压、转子转速和其它电机参量所构成的电机模型上,将定子电流、定子磁链、转速和转子角位置作为状态变量,定子电压为输入变量,定子电流为输出变量,通过对磁链和转速的闭环控制提高定子磁链的估计精度,实现了异步电机的无速度传感器直接转矩控制策略,仿真结果验证了该方法的可行性,提高了直接转矩的控制性能。 Abstract:  In order to improve the Direct Torque Control(DTC) system of stator flux estimation accuracy and reduce the current, voltage measurement of random error, a novel method to estimate the speed and rotor position of asynchronous motor based on extended Kalman filter was introduced. EKF was based on d-p axis motor and other motor parameters (state vector: stator current, stator flux linkage, rotor angular speed and position; input: stator voltage; output: staror current). EKF was designed for stator flux and rotor speed estimation in close-loop control. It can improve the estimated accuracy of stator flux. It is possible to estimate the speed and rotor position and implement asynchronous motor drives without position and speed sensors. The simulation results show it is efficient and improves the control performance.

    标签: EKF 异步电机 直接转矩 控制系统

    上传时间: 2015-01-02

    上传用户:qingdou

  • 微电脑型单相交流集合式电表(单相二线系统)

    微电脑型单相交流集合式电表(单相二线系统) 特点: 精确度0.25%满刻度±1位数 可同时量测与显示交流电压,電流,頻率,瓦特,(功率因數/視在功率) 交流電壓,電流,瓦特皆為真正有效值(TRMS) 交流電流,瓦特之小數點可任意設定 瓦特單位W或KW可任意設定 CT比可任意設定(1至999) 輸入與輸出絕緣耐压 2仟伏特/1分鐘( 突波測試強度4仟伏特(1.2x50us) 數位RS-485界面 (Optional) 主要规格: 精确度: 0.1% F.S.±1 digit (Frequency) 0.25% F.S.±1 digit(ACA,ACV,Watt,VA) 0.25% F.S. ±0.25o(Power Factor) (-.300~+.300) 输入负载: <0.2VA (Voltage) <0.2VA (Current) 最大过载能力: Current related input: 3 x rated continuous 10 x rated 30 sec. 25 x rated 3sec. 50 x rated 1sec. Voltage related input: maximum 2 x rated continuous 过载显示: "doFL" 显示值范围: 0~600.0V(Voltage) 0~999.9Hz(Frequency)(<20% for voltage input) 0~19999 digit adjustable(Current,Watt,VA) 取样时间: 2 cycles/sec. RS-485通讯位址: "01"-"FF" RS-485传输速度: 19200/9600/4800/2400 selective RS-485通信协议: Modbus RTU mode 温度系数: 100ppm/℃ (0-50℃) 显示幕: Red high efficiency LEDs high 10.16 mm(0.4") 参数设定方式: Touch switches 记忆型式: Non-volatile E²PROM memory 绝缘抗阻: >100Mohm with 500V DC 绝缘耐压能力: 2KVac/1 min. (input/output/power) 1600 Vdc (input/output) 突波测试: ANSI c37.90a/1974,DIN-IEC 255-4 impulse voltage 4KV(1.2x50us) 使用环境条件: 0-50℃(20 to 90% RH non-condensed) 存放环境条件: 0-70℃(20 to 90% RH non-condensed) CE认证: EN 55022:1998/A1:2000 Class A EN 61000-3-2:2000 EN 61000-3-3:1995/A1:2001 EN 55024:1998/A1:2001

    标签: 微电脑 单相交流 单相 电表

    上传时间: 2015-01-03

    上传用户:几何公差

  • 集合式直流电能表(小功率的)

    集合式直流电能表(小功率的) 特点: 精确度0.05%满刻度±1位数 可同时量测与显示/直流电压/电流/瓦特(千瓦)/瓦特小时(千瓦小时) 电压输入(DC0-99.99V/0-600.0V)自动变档功能 显示范围0-9999(电流/瓦特/千瓦),0至99999999(八位數瓦特小时)可任意规划 数位RS-485 界面 (Optional) 主要规格: 辅助电源消耗功率:<0.35VA(DC12V/DC24V) <0.5VA(DC48V) <1.5VA(AC90-240V(50/60Hz)) 精确度: 0.05% F.S. ±1 digit (23 ±5℃) 输入范围:Auto range(DC0-99.99V/0-600.0V(DC voltage)) 输入抗阻:>5MΩ(DC voltage) 取样时间:10 cycles/second(total) 过载显示: " doFL " 显示值范围: 0-9999 digit(DCA/W(KW)) 0-9999999.999 digit(WH/(KWH)) RS-485传输速度: 19200/9600/4800/2400 selective RS-485通讯位址: "01"-"FF"(0-255) RS-485通信协议: Modbus RTU mode 温度系数: 50ppm/℃ (0-50℃) 显示幕:Bight Red LEDs high 10.16 mm(0.4") 参数设定方式: Touch switches 记忆方式: Non-volatile E²PROM memory 绝缘耐压能力:2KVac/1min.(input/output)(RS-485(Isolating)) 1600 Vdc (input/output) (RS-485(Isolating)) 使用环境条件: 0-50℃(20 to 90% RH non-condensed) 存放环境条件: 0-70℃(20 to 90% RH non-condensed) CE认证: EN 55022:1998/A1:2000 Class A EN 61000-3-2:2000 EN 61000-3-3:1995/A1:2001 EN 55024:1998/A1:2001

    标签: 直流 电能表 小功率

    上传时间: 2013-11-20

    上传用户:s363994250

  • 微电脑型交流电力控制电表

    特点: 精确度0.25%满刻度±1位數 可量测交流瓦特/乏爾/功率因數/相角 显示范围0- ±19999可任意规划 输入与输出绝缘耐压2仟伏特/1分钟(input/output/power)) 突波测试强度4仟伏特(1.2x50us) 2组警报功能 (Optional) 15BIT类比输出功能 (Optional) 数位RS-485界面 (Optional)

    标签: 微电脑 交流 电力控制 电表

    上传时间: 2013-11-08

    上传用户:330402686