虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

CadENCe

楷登电子[1](CadENCeDesignSystems,Inc;NASDAQ:CDNS)是一家专门从事电子设计自动化(EDA)的软件公司,由SDASystems和ECAD两家公司于1988年兼并而成。是全球最大的电子设计自动化(ElectronicDesignAutomation)、半导体技术解决方案和设计服务供应商。[2]
  • 中兴EDA手册CadENCe allegro教程

    allegro教程,给有需要的人士!

    标签: CadENCe allegro EDA 中兴

    上传时间: 2015-01-01

    上传用户:lllliii

  • candence工程文件

    于博士CadENCe allergro 配套资料

    标签: candence 工程

    上传时间: 2013-12-03

    上传用户:kinochen

  • Allegro基础训练2

    CadENCe必备

    标签: Allegro

    上传时间: 2013-10-21

    上传用户:shenlan

  • 可编辑程逻辑及IC开发领域的EDA工具介绍

    EDA (Electronic Design Automation)即“电子设计自动化”,是指以计算机为工作平台,以EDA软件为开发环境,以硬件描述语言为设计语言,以可编程器件PLD为实验载体(包括CPLD、FPGA、EPLD等),以集成电路芯片为目标器件的电子产品自动化设计过程。“工欲善其事,必先利其器”,因此,EDA工具在电子系统设计中所占的份量越来越高。下面就介绍一些目前较为流行的EDA工具软件。 PLD 及IC设计开发领域的EDA工具,一般至少要包含仿真器(Simulator)、综合器(Synthesizer)和配置器(Place and Routing, P&R)等几个特殊的软件包中的一个或多个,因此这一领域的EDA工具就不包括Protel、PSpice、Ewb等原理图和PCB板设计及电路仿真软件。目前流行的EDA工具软件有两种分类方法:一种是按公司类别进行分类,另一种是按功能进行划分。 若按公司类别分,大体可分两类:一类是EDA 专业软件公司,业内最著名的三家公司是CadENCe、Synopsys和Mentor Graphics;另一类是PLD器件厂商为了销售其产品而开发的EDA工具,较著名的公司有Altera、Xilinx、lattice等。前者独立于半导体器件厂商,具有良好的标准化和兼容性,适合于学术研究单位使用,但系统复杂、难于掌握且价格昂贵;后者能针对自己器件的工艺特点作出优化设计,提高资源利用率,降低功耗,改善性能,比较适合产品开发单位使用。 若按功能分,大体可以分为以下三类。 (1) 集成的PLD/FPGA开发环境 由半导体公司提供,基本上可以完成从设计输入(原理图或HDL)→仿真→综合→布线→下载到器件等囊括所有PLD开发流程的所有工作。如Altera公司的MaxplusⅡ、QuartusⅡ,Xilinx公司的ISE,Lattice公司的 ispDesignExpert等。其优势是功能全集成化,可以加快动态调试,缩短开发周期;缺点是在综合和仿真环节与专业的软件相比,都不是非常优秀的。 (2) 综合类 这类软件的功能是对设计输入进行逻辑分析、综合和优化,将硬件描述语句(通常是系统级的行为描述语句)翻译成最基本的与或非门的连接关系(网表),导出给PLD/FPGA厂家的软件进行布局和布线。为了优化结果,在进行较复杂的设计时,基本上都使用这些专业的逻辑综合软件,而不采用厂家提供的集成PLD/FPGA开发工具。如Synplicity公司的Synplify、Synopsys公司的FPGAexpress、FPGA Compiler Ⅱ等。 (3) 仿真类 这类软件的功能是对设计进行模拟仿真,包括布局布线(P&R)前的“功能仿真”(也叫“前仿真”)和P&R后的包含了门延时、线延时等的“时序仿真”(也叫“后仿真”)。复杂一些的设计,一般需要使用这些专业的仿真软件。因为同样的设计输入,专业软件的仿真速度比集成环境的速度快得多。此类软件最著名的要算Model Technology公司的Modelsim,CadENCe公司的NC-Verilog/NC-VHDL/NC-SIM等。 以上介绍了一些具代表性的EDA 工具软件。它们在性能上各有所长,有的综合优化能力突出,有的仿真模拟功能强,好在多数工具能相互兼容,具有互操作性。比如Altera公司的 QuartusII集成开发工具,就支持多种第三方的EDA软件,用户可以在QuartusII软件中通过设置直接调用Modelsim和 Synplify进行仿真和综合。 如果设计的硬件系统不是很大,对综合和仿真的要求不是很高,那么可以在一个集成的开发环境中完成整个设计流程。如果要进行复杂系统的设计,则常规的方法是多种EDA工具协调工作,集各家之所长来完成设计流程。

    标签: EDA 编辑 逻辑

    上传时间: 2013-10-11

    上传用户:1079836864

  • CadENCe 应用注意事项

    good good study ,day day up

    标签: CadENCe 注意事项

    上传时间: 2014-01-04

    上传用户:waitingfy

  • CadENCe PCB设计:布局与布线

    复杂的物理和电气规则, 高密度的元器件布局, 以及更高的高速技术要求, 这一切都增加了当今PCB设计的复杂性。 不管是在设计过程的哪一个阶段, 设计师都需要能够轻松地定义,管理和确认简单的物理/间距规则, 以及至关重要的高速信号;同时, 他们还要确保最终的PCB满足传统制造以及测试规格所能达到的性能 目标。

    标签: CadENCe PCB 布局 布线

    上传时间: 2013-11-06

    上传用户:凤临西北

  • 在allegro中出gerber文件和CAM350中导入

    适用范围:  CadENCe Allegro 15.2  Mentor CAM350 8.7

    标签: allegro gerber CAM 350

    上传时间: 2013-10-30

    上传用户:1051290259

  • Allegro印制电路板设计610

    CadENCe Allegro印制电路板设计610,作为Allegro系统互连设计平台的一个600系列产品,是一个完整的、高性能印制电路板设计套件。通过顶尖的技术,它为创建和编辑复杂、多层、高速、高密度的印制电路板设计提供了一个交互式、约束驱动的设计环境。它允许用户在设计过程的任意阶段定义、管理和验证关键的高速信号,并能抓住今天最具挑战性的设计问题。Allegro印制电路板设计610提高了设计效率和缩短设计周期,让你的产品尽快进入量产。

    标签: Allegro 610 印制 电路板设计

    上传时间: 2013-11-23

    上传用户:hj_18

  • CadENCe应用注意事项

    如果符合以下条件,则无论是以原文形式,且不论是否修改,再分发和使用本文档都是被允许的.

    标签: CadENCe 注意事项

    上传时间: 2015-01-02

    上传用户:gdgzhym

  • SOC验证方法

    Prakash Rashinkar has over 15 years experience in system design and verificationof embedded systems for communication satellites, launch vehicles and spacecraftground systems, high-performance computing, switching, multimedia, and wirelessapplications. Prakash graduated with an MSEE from Regional Engineering College,Warangal, in India. He lead the team that was responsible for delivering themethodologies for SOC verification at CadENCe Design Systems. Prakash is anactive member of the VSIA Functional Verification DWG. He is currently Architectin the Vertical Markets and Design Environments Group at CadENCe.

    标签: SOC 验证方法

    上传时间: 2013-11-19

    上传用户:m62383408