虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

ALTERa

自二十年前发明世界上第一个可编程逻辑器件开始,ALTERa公司(阿尔特拉)(NASDAQ:ALTR)秉承了创新的传统,是世界上“可编程芯片系统”(SOPC)解决方案倡导者。ALTERa结合带有软件工具的可编程逻辑技术、知识产权(IP)和技术服务,在世界范围内为14,000多个客户提供高质量的可编程解决方案。我们新产品系列将可编程逻辑的内在优势——灵活性、产品及时面市——和更高级性能以及集成化结合在一起,专为满足当今大范围的系统需求而开发设计。
  • 基于嵌入式机器视觉控制系统的研究

      论文以ALTERa公司的Cyclone II系列EP2CSQ208为核心芯片,构建基于FPGA的SOPC嵌入式硬件平台,并以此平台为基础深入研究SOPC嵌入式系统的硬件设计和软件开发方法,详细测试和验证系统存储模块和外围模块。同时以嵌入式处理器IP核NioslI为核心,设计出基于NioslI的视觉控制软件。在应用中引入pc/os.II实时操作系统,介绍了实时操作系统I_tc/OS.II的相关概念和移植方法,设计了相关底层软件及轨迹图像识别算法,将具体应用程序划分成多个任务,最终实现了视觉图像的实时处理及小车的实时控制。   在本设计中,图像采集部分利用SAA7111A视频解码芯片完成视频信号的采集,利用FPGA完成复杂高速的逻辑控制及时序设计,将采集的数字视频信号存储在外扩存储器SRAM中,以供后续图像处理。   在构建NioslI CPU时,自定制了SRAM控制器、irda红外接口、OC i2c接口、PWM接口和VGA显示接口等相关外设组件,提供了必要的人机及控制接口,方便系统的控制及调试。

    标签: 嵌入式机器视觉 控制系统

    上传时间: 2013-11-13

    上传用户:chenhr

  • 基于SOPC数据采集与控制系统

    基于SOPC技术设计了一个综合应用系统:实现了键值数据采集、显示,并将采集到的数据通过串口送给上位机;也可以接收上位机送来的数据,控制点亮相应的二极管且将接收到的数据显示在数码管上。系统硬件由FPGA及外围电路组成,采用了性能优良的Nios II软核处理器;软件在ALTERa公司的软件集成开发工具Nios II IDE下应用C语言编程。该系统工作可靠,在实际的应用设计中有一定的参考价值。

    标签: SOPC 数据采集 控制系统

    上传时间: 2013-12-17

    上传用户:wangcehnglin

  • 基于SoPC的低应变反射波检测系统

    提出了一种基于核心处理单元为ALTERa NiosⅡ的SoPC的智能低应变反射波检测系统。介绍了低应变反射波检测法,探讨了系统具体的软硬件设计。系统的主要目的是使复杂电子系统可在单块FPGA上实现,该系统在基桩完整性检测中具有广阔的应用前景,并能通过适当改进,应用于其他工程检测中。

    标签: SoPC 反射波 检测系统

    上传时间: 2013-11-20

    上传用户:二驱蚊器

  • 基于DSP与FPGA的ARINC429总线接口卡设计

    设计了一种基于DSP和FPGA的ARINC429总线接口卡。该设计使用PLX公司的PCI9052和HARRIS公司的HS3282作为专用协议芯片,TI公司的TMS320F2812作为嵌入式CPU,ALTERa公司的FPGA芯片EP1C12来进行逻辑控制、时序控制,实现了ARINC429总线接口卡的电路设计。本接口卡数据收发过程由卡上的DSP控制,无需占用计算机资源,具有高速、可靠、实时性好的优点。

    标签: ARINC FPGA DSP 429

    上传时间: 2013-11-20

    上传用户:zhangdebiao

  • ModelSimSE进行功能和时序仿真的学习笔记

        ALTERa公司:用ModelSimSE进行功能仿真和时序仿真的方法(ALTERa篇)之学习笔记

    标签: ModelSimSE 时序仿真

    上传时间: 2013-12-24

    上传用户:ch3ch2oh

  • 如何仿真IP核(建立modelsim仿真库完整解析)

      IP核生成文件:(Xilinx/ALTERa 同)   IP核生成器生成 ip 后有两个文件对我们比较有用,假设生成了一个 asyn_fifo 的核,则asyn_fifo.veo 给出了例化该核方式(或者在 Edit-》Language Template-》COREGEN 中找到verilog/VHDL 的例化方式)。asyn_fifo.v 是该核的行为模型,主要调用了 xilinx 行为模型库的模块,仿真时该文件也要加入工程。(在 ISE中点中该核,在对应的 processes 窗口中运行“ View Verilog Functional Model ”即可查看该 .v 文件)。如下图所示。

    标签: modelsim 仿真 IP核 仿真库

    上传时间: 2013-11-02

    上传用户:谁偷了我的麦兜

  • 嵌入式可编程器件CPLD的典型实例 压缩包

    嵌入式可编程器件CPLD的典型实例 压缩包,共计43个源码文件。 使用ALTERa的 Muxplus 软件即可编辑仿真 相关软件可在教育网ftp下载[天网查询,有很多站点提供]

    标签: CPLD 嵌入式 可编程器件 典型

    上传时间: 2015-01-14

    上传用户:894898248

  • 硬件求解平方根源代码加密 (硬件求解平方根的

    硬件求解平方根源代码加密 (硬件求解平方根的,将license添加到原有的MaxplusII或QuartusII的license中就可以直接使用,但源代码加密。ALTERa提供 )

    标签: 硬件 平方根 加密 源代码

    上传时间: 2014-01-04

    上传用户:qunquan

  • 增强型8051的VHDL源代码

    增强型8051的VHDL源代码,两个周期执行一条指令,仿真工具为Modelsim,开发板为ALTERa的EP1C20开发板

    标签: 8051 VHDL 增强型 源代码

    上传时间: 2013-12-31

    上传用户:佳期如梦

  • 课程设计要求设计并用FPGA实现一个数字频率计

    课程设计要求设计并用FPGA实现一个数字频率计,具体设计要求如下: 测量频率范围: 10Hz~100KHz 精度: ΔF / F ≤ ±2 % 系统外部时钟: 1024Hz 测量波形: 方波 Vp-p = 3~5 V 硬件设备:ALTERa Flex10K10 五位数码管 LED发光二极管 编程语言:Verilog HDL / VHDL

    标签: FPGA 数字频率计

    上传时间: 2013-12-21

    上传用户:1583060504