虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

正交滤波器

  • OFDM系统的定时和频率同步的实现

    正交频分复用技术(OFDM)是未来宽带无线通信中的关键技术。随着用户对实时多媒体业务,高速移动业务需求的迅速增加,OFDM由于其频谱效率高,抗多径效应能力强,抗干扰性能好等特点,该技术正得到了广泛的应用。 OFDM系统的子载波之间必须保持严格的正交性,因此对符号定时和载波频偏非常敏感。本课题的主要任务是分析各种算法的性能的优劣,选取合适的算法进行FPGA的实现。 本文首先简要介绍了无线信道的传输特性和OFDM系统的基本原理,进而对符号同步和载波同步对接收信号的影响做了分析。然后对比了非数据辅助式同步算法和数据辅助式同步算法的不同特点,决定采用数据辅助式同步算法来解决基于IEEE 802.16-2004协议的突发传输系统的同步问题。最后部分进行了算法的实现和仿真,所有实现的仿真均在QuartusⅡ下按照IEEE 802.16-2004协议的符号和前导字的结构进行。 本文的主要工作:(1)采用自相关和互相关联合检测算法同时完成帧到达检测和符号同步估计,只用接收数据的符号位做相关运算,有效地解决了判决门限需要变化的问题,同时也减少了资源的消耗;(2)在时域分数倍频偏估计时,利用基于流水线结构的Cordic模块计算长前导字共轭相乘后的相角,求出分数倍频偏的估计值;(3)采用滑动窗口相关求和的方法估计整数倍频偏值,在此只用频域数据的符号位做相关运算,有效地解决了传统算法估计速度慢的缺点,同时也减少了资源的消耗。

    标签: OFDM 定时 同步的

    上传时间: 2013-05-23

    上传用户:宋桃子

  • 基于FPGA的雷达信号侦察数字接收机

    随着信号处理技术的进步和电子技术的发展,雷达信号侦察接收机逐渐从模拟体制向数字体制转变。软件无线电概念的提出,促使雷达侦察接收机朝大带宽、全截获方向发展,现有的串行信号处理体制已经很难满足系统要求。FPGA器件的出现,为实现宽带雷达信号侦察数字接收机提供了硬件支持。 本文结合FPGA芯片特点,在前人研究基础上,从算法和硬件实现两方面,对雷达信号侦察数字接收机若干关键技术进行了研究和创新,主要研究内容包括以下几个方面。 1)给出了基于QuartusII/Matlab和ISE/ModelSim/Matlab的两种FPGA设计联合仿真技术。这种联合仿真技术,大大提高了基于FPGA的雷达信号侦察数字接收机的设计效率。 2)给出了一种基于FFT/IFFT的宽带数字正交变换算法,并将该算法在FPGA中进行了硬件实现,设计可对600MHz带宽内的输入信号进行实时正交变换。 3)提出了一种全并行结构FFT的FPGA实现方案,并将其在FPGA芯片中进行了硬件实现,设计能够在一个时钟周期内完成32点并行FFT运算,满足了数字信道化接收机对数据处理速度的要求。 4)提出了一种自相关信号检测FPGA实现方案,通过改变FIFO长度改变自相关运算点数,实现了弱信号检测。提出通过二次门限处理来消除检测脉冲中的毛刺和凹陷,降低了虚警概率,提高了检测结果的可靠性。 5)在单通道自相关信号检测算法基础上,提出采用三路并行检测,每路采用不同的相关点数和检测门限,再综合考虑三路检测结果,得到最终检测结果。给出了算法FPGA实现过程,并对设计进行了联合时序仿真,提高了检测性能。 6)给出了一种利用FFT变换后的两根最大谱线进行插值的快速高精度频率估计方法,并将该算法在FPGA硬件中进行了实现。通过利用FFT运算后的实/虚部最大值进行插值,降低了硬件资源消耗、缩短了运算延迟。 7)结合4)、5)、6)中的研究成果,完成了对雷达脉冲信号到达时间、终止时间、脉冲宽度和脉冲频率的估计,最终在一块FPGA芯片内实现了一个精简的雷达信号侦察数字接收机,并在微波暗室中进行了测试。

    标签: FPGA 雷达信号 数字接收机

    上传时间: 2013-06-13

    上传用户:Divine

  • 数字音频广播中OFDM调制的研究与实现

    正交频分复用(OFDM)是一种无线环境下的高速传输技术,它使用一系列低速子载波并行传输数据,具有抗多径干扰的能力、能以很高的频谱利用率实现高速数据传输等优点。数字音频广播(DAB)系统中采用OFDM调制技术。 本文首先概述了OF'DM的基本原理和实现方法,分析了DAB中不同模式下OFDM调制的参数和特点。实现OFDM的核心技术是快速傅立叶变换(FFT)。本文在分析研究了多种FFT算法的基础上选择了最适合FPGA实现的,满足DAB系统中OFDM调制要求的FFT算法,即将2048点FFT分解为基-4和基-2混合基算法。 本文研究重点是使用FPGA实现2048点复数FFT处理器。2048点FFT由五级基-4运算和一级基-2运算组成。针对这一算法以及FPGA特点,进行系统结构设计、各个模块设计、FPGA实现和测试。一个基-4和基-2复用的蝶形运算模块是整个FFT处理器的核心部分。此外系统还包括:系统控制模块,地址产生模块,RAM和ROM。本文特别针对2048点按频率抽取基-4/2顺序处理的FFT处理器提出了一种巧妙的数据地址和旋转因子地址生成的方法。 仿真和验证表明,运算的结果可以达到一定的精度要求,运算速度满足系统要求,说明该OFDM调制器的设计是可行的,可以应用于DAB系统中

    标签: OFDM 数字音频广播 调制

    上传时间: 2013-06-05

    上传用户:star_in_rain

  • 基于FPGA全数字OFDM收发信机

    正交频分复用(OFDM,Orthogonal Frequency Division Multiplexing)技术作为一种可以有效对抗信号波形间干扰的高速传输技术,引起了广泛关注。它利用许多并行的、传输低速率数据的子载波来实现高速率的通信。它的特点是各子载波相互正交,所以扩频调制后的频谱可以相互重叠,不但减小了子载波问的相互干扰,还大大提高了频谱利用率。由于OFDM的高频谱利用率、易于硬件实现、对抗频率选择性衰落和窄带干扰的能力突出等优点,它成为第四代移动通信的首选技术,是当前移动通信技术研究的热点问题。 本文概括的介绍了OFDM系统的基本概念、基本工作原理和关键技术,重点讨论了如何在FPGA上实现OFDM低中频收发信机。基于这些理论知识,确定了OFDM低中频收发信机系统实现方案,并选择ALTERA公司的Cyclone

    标签: FPGA OFDM 全数字 收发信机

    上传时间: 2013-06-29

    上传用户:水瓶kmoon5

  • 四路DVBC调制器的设计

    随着数字时代的到来,信息化程度的不断提高,人们相互之间的信息和数据交换日益增加。正交幅度调制器(QAM Modulator)作为一种高频谱利用率的数字调制方式,在数字电视广播、固定宽带无线接入、卫星通信、数字微波传输等宽带通信领域得到了广泛应用。 近年来,集成电路和数字通信技术飞速发展,FPGA作为集成度高、使用方便、代码可移植性等优点的通用逻辑开发芯片,在电子设计行业深受欢迎,市场占有率不断攀升。本文研究基于FPGA与AD9857实现四路QAM调制的全过程。FPGA实现信源处理、信道编码输出四路基带I/Q信号,AD9857实现对四路I/Q信号的调制,输出中频信号。本文具体内容总结如下: 1.介绍国内数字电视发展状况、国内国际的数字电视标准,并详细介绍国内有线电视的系统组成及QAM调制器的发展过程。 2.研究了QAM调制原理,其中包括信源编码、TS流标准格式转换、信道编码的原理及AD9857的工作原理等。并着重研究了信道编码过程,包括能量扩散、RS编码、数据交织、星座映射与差分编码等。 3.深入研究了基于FPAG与AD9857电路设计,其中包括详细研究了FPGA与AD9857的电路设计、在allegro下的PCB设计及光绘文件的制作,并做成成品。 4.简单介绍了FPGA的开发流程。 5.深入研究了基于FPAG代码开发,其中主要包括I2C接口实现,ASI到SPI的转换,信道编码中的TS流包处理、能量扩散、RS编码、数据交织、星座映射与差分编码的实现及AD9857的FPGA控制使其实现四路QAM的调制。 6.介绍代码测试、电路测试及系统指标测试。 最终系统指标测试表明基于FPGA与AD9857的四路DVB-C调制器基本达到了国标的要求。

    标签: DVBC 调制器

    上传时间: 2013-07-05

    上传用户:leehom61

  • OFDM发射机系统的FPGA设计

    无线局域网是计算机网络技术和无线通信技术相结合的产物,是利用无线媒介传输信息的计算机网络。在无线通信信道中,由于多径时延不可避免地存在符号间干扰,正交频分复用(OFDM)作为一种可以有效对抗符号间干扰(ISI)和提高频谱利用率的高速传输技术,引起了广泛关注。在无线局域网(WLAN)系统中,OFDM调制技术已经被采用作为其物理层标准,并且公认为是下一代无线通信系统中的核心技术。基于IEEE802.11a的无线局域网标准的物理层采用了OFDM技术,能有效的对抗多径信道衰落,达到54Mbps的速度,而未来而的IEEE802.11n将达到100Mbps的高速。因此,研发以OFDM为核心的原型机研究非常有必要。 本文在深入理解OFDM技术的同时,结合相应的EDA工具对系统进行建模并基于IEEE802.11a物理层标准给出了一种OFDM基带发射机系统的FPGA实现方案。整个设计采用目前主流的自顶向下的设计方法,由总体设计至详细设计逐步细化。在系统功能模块的FPGA实现过程中,针对Xilinx一款160万门的Spartan-3E XCS1600E芯片,依照:IEEE802.11a帧格式,对发射机系统各个模块进行了详细设计和仿真: (1)训练序列生成模块,包括长,短训练序列; (2)信令模块,包括卷积编码,交织,BPSK调制映射; (3)数据模块,包括加扰,卷积编码,删余,交织,BPSK/QPSK/16QAM/64QAM调制映射; (4)OFDM处理部分,包括导频插入,加循环前缀,IFFT处理; (5)对整个发射处理部分联调,并给出仿真结果另外,还完成了接收机部分模块的FPGA设计,并给出了相应的顶层结构与仿真波形。最后提出了改进和进一步开发的方向。

    标签: OFDM FPGA 发射机

    上传时间: 2013-04-24

    上传用户:李彦东

  • 基于可重配置的OFDM基带系统的FPGA设计

    1992年5月,JoeMitola首次明确提出了软件无线电的概念。软件无线电将模块化、标准化的硬件单元连接构成硬件平台,通过软件加载实现各种无线通信功能。端到端重配置技术是在软件无线电的基础上发展起来的,该技术使通信系统不仅具有重配置的能力,还能提供一体化的重配置管理架构,实现联合无线资源管理和网络规划。端到端重配置技术已经成为软件无线电的发展趋势。 宽带无线接入(BWA,BroadbandWirelessAccess)是当前通信界研究的热点之一,而WiMax和WiFi是BWA中最热门的两个技术,所以本文选择了IEEE802.16-2004与IEEE802.11a,设计了基于其物理层标准的可重配置OFDM基带系统。它们均采用正交频分复用技术(OFDM,OrthogonalFrequencyDivisionMultiplexing)。 本文研究了IEEE802.16-2004与IEEE802.11a物理层标准,结合Altera公司提供的FPGA开发工具QuartusⅡ、Mentor公司仿真工具ModelsimSE6.0,完成了基于IEEE802.16-2004及IEEE802.11a的可重配置OFDM基带系统的FPGA设计。该设计中,对FPGA进行重新配置,实现了802.16-2004与802.11a两种技术的完全重配置;通过选择不同的参数来调用不同子模块,实现802.16-2004与802.11a内部不同调制技术的局部重配置。该可重配置基带系统核心的FFT/IFFT。模块采用基4按频率抽取及Cordic算法,消除乘法运算,有利于FPGA实现;在802.16-2004系统中,选取了基于前导序列的符号同步算法,在FPGA中实现。最后使用开发软件、综合软件以及仿真软件分析了系统的性能并给出了系统的性能指标。

    标签: OFDM FPGA 可重配置 基带系统

    上传时间: 2013-05-19

    上传用户:branblackson

  • 基于FPGA的16QAM调制解调系统的研究

    传统的频率调制和相位调制两种数字调制方式都存在频谱利用率低、抗多径衰落能力差、功率谱衰减慢、带外辐射严重等不足。正交振幅调制(QAM)是一种相位和振幅联合控制的数字调制技术,它不仅可以得到更高的频谱效率,而且可...

    标签: FPGA QAM 16 调制解调

    上传时间: 2013-04-24

    上传用户:embedtu

  • OFDM在中压电力线通信中的应用

    论文讨论了中压电力线载波通信(MV-PLC)的现状和应用前景,介绍了其技术特点和所面临的问题。针对当前中压电力线载波芯片的开发状况,提出了基于OFDM(正交频分复用)技术的中压电力线载波通信的技术优势和其Modem芯片开发的重要性。 针对国内中压电网的结构,根据现有的研究成果,分析了中压电力线信道的传输特性,包括阻抗特性,噪声特性和衰减特性。阐述了OFDM的基本原理、优缺点和其中的关键技术,分析了OFDM系统组成模型及参数选取原则。针对中压电力线信道噪声特点,提出了基于OFDM的中压电力线载波Modem芯片的FPGA(现场可编程门阵列)实现方案,并建立了系统MATLAB定点仿真模型。通过分析定点仿真结果,给出了该OFDM系统的设计参数,并详细介绍了系统中部分模块(主要包括IFFT/FFT模块、数字上变频模块和同步模块)的FPGA实现结构(用Verilog硬件描述语言设计),并对这些模块进行了功能验证。 最后,搭建仿真平台,对整个系统进行了前端EDA仿真验证。利用低压电力线环境,对所设计的系统进行了FPGA板级的调试,并对测试的结果进行了分析。验证了系统的FPGA设计,并提出了MV-PLC OFDM系统中存在一些问题及系统需要改进之处。

    标签: OFDM 中压 电力线通信 中的应用

    上传时间: 2013-04-24

    上传用户:yezhihao

  • ChenMobius通信系统的FPGA硬件实现

    自上个世纪九十年代以来,我国著名学者、现中国科学院院士、清华大学陈难先教授等人使用无穷级数的Mobius反演公式解决了一系列重要的物理学中的逆问题,开创了应用、推广数论中的Mobius变换解决物理学中各种逆问题的巧妙方法,其工作在1990年当时就得到了世界著名的《NATURE》杂志的高度评价。 华侨大学苏武浔教授等则把Mobius变换的方法应用于几种常用波形(包括周期矩形脉冲,奇偶对称方波和三角波等)的傅立叶级数的逆变换运算,得到正、余弦函数及一般周期信号的各种常用波形的信号展开;并求得了与各种常用波形信号函数族相正交的函数族,以用于各展开系数的计算与信息的解调;而后把它们应用到通信系统中,提出了一种新的通信系统,即新型Chen-Mobius通信系统。 本文主要完成了两个方面的工作,Chen-Mobius多路通信系统的FPGA硬件设计实现和基于Chen-Mobius变换的语音加密双工通信系统的实现。首先,利用嵌入MATLAB\SIMULINK中的DSPBuilder软件对Chen-Mobius多路(四路和八路)通信系统进行仿真分析,对该系统在不同信噪比情况下的错误概率进行了计算,并绘出了信噪比-错误概率曲线;其次,利用DSPBuilder中的Signalcompiler将Chen-Mobius多路通信系统的主体模块(函数及积分器的产生等)转化成HDL硬件语言,后在QuartusⅡ软件平台上,结合利用VHDL编程的硬件程序模块(分频、延时、控制模块等)构架完整的Chen-Mobius通信系统,并对此系统设计综合、引脚分配、仿真验证、时序分析等;最后,在Altera公司的Stratix 芯片上,实现硬件的编程和下载,从而完成了Chen-Mobius多路通信系统的FPGA硬件实现。 另外,利用Chen-Mobius单路通信系统的调制、解调系统分别对语音信号进行加密与解密,在两块DE2的FPGA开发板上成功实现了基于Chen-Mobius变换的语音加密双工通信。完成本设计意义重大,它为今后Chen-Mobius通信系统应用于通信领域的各个方面,迈开坚实的一步。

    标签: ChenMobius FPGA 通信系统 硬件实现

    上传时间: 2013-07-24

    上传用户:xaijhqx