虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

正交滤波器

  • 作物叶片图像采集系统的研究与设计

    本文研制了一套基于数码相机的图像采集系统,用于拍摄作物叶片。该系统采用漫反射式的多光源正面照明设计方案,具有实时、高效、可控的特点。研究通过正交试验对照明系统参数进行优化,运用matlab 对

    标签: 图像采集系统

    上传时间: 2013-07-30

    上传用户:xlcky

  • 超宽带脉冲与MB-OFDM物理层的FPGA实现

    现代通信系统对带宽和数据速率的要求越来越高,超宽带(ultra-wideband,UWB)通信以其传输速率高、空间容量大、成本低、功耗低的优点,成为解决企业、家庭、公共场所等高速因特网接入的需求与越来越拥挤的频率资源分配之间的矛盾的技术手段。 论文主要围绕两方面展开分析:一是介绍用于UWB无载波脉冲调制及直接序列码分多址调制(DS-CDMA)的新型脉冲,即Hermite正交脉冲,并且分析了这种构建UWB多元通信和多用户通信的系统性能。二是分析了UWB的多带频分复用物理层提案(MBOA)的调制技术,并在FPGA上实现了调制模块。正交Hermite脉冲集被提出用于UWB的M元双正交调制系统,获得高数据速率。调整脉冲的脉宽因子和中心频率能使脉冲满足FCC的频谱要求。M元双正交调制的接收机需要M/2个相关器,远比M元正交调制所需的相关器数量少。误码率一定时,维数M的增加可获得高的比特率和低的信噪比。虽然高阶的Hermite脉冲易受抖动时延的影响,但当抖动时延范围小于0.02ns时,其影响较为不明显。本文认为1~8阶的Hermite脉冲皆可用,可构成16元双正交系统。 正交Hermite脉冲集也可以构造UWB多用户系统。各用户的信息用不同的Hermite脉冲同时传输,其多用户的误比特率上限低于高斯单脉冲构成的PPM多用户系统的误比特率,所以其系统性能更优。正交Hermite脉冲还可以用于UWB的DS-CDMA调制,在8个脉冲可用的情况下,最多可容64个用户同时通信。 基于MBOA提出的UWB物理层协议,本文用Verilog硬件语言实现了调制与解调结构,并用Modelsim做了时序验证。用Verilog编程实现的输出数据与Matlab生成的UWB建模的输出结果一致。为了达到UWBMB-OFDM系统的FFT处理器的要求,一个混和基多通道流水线的FFT算法结构被提出。其有效的实现方法也被提出。这种结构采用多通道以获得高的数据吞吐量。此外,它用于存储和复数乘法器的硬件损耗相比其他的FFT处理器是最少的。高基的FFT蝶算减少了复数乘法器的数量。在132MHz的工作频率下,整个128点FFT变换在此结构模式下只需要242.4ns,满足了MBOA的要求。

    标签: MB-OFDM FPGA 超宽带 脉冲

    上传时间: 2013-07-29

    上传用户:TI初学者

  • QPSK基带通信设计及其FPGA实现的研究

      全数字调制解调技术具有多速率、多制式、智能性等特点,这极大的提高了通信系统的灵活性和通用性,符合未来通信技术发展的方向。  本文从如下几个方面对全数字调制解调器进行了深入系统研究:1,在介绍全数字调制解调器的发展现状和研究QPSK通信调制解调方式的基础上,依据软件定性仿真分析了QPSK正交调制解调系统,设计出了满足系统要求的实现电路框图并选定了芯片;2,在完成了基于FPGA芯片实现QPSK调制解调的算法方案设计基础上,利用VHDL语言完成了芯片程序的设计,并对其进行了调试和功能仿真;3,利用设计出的调制解调器与选定的AD、DA、正交调制解调芯片,完成了QPSK通信系统的硬件电路的设计并完成了调制电路的研制;4,完成电路的信息速率大于300Kbps,产生的中频信号中心频率70MHz,带宽500KHz,满足系统设计要求,由于时间关系解调电路仍在调试中。  本文基于FPGA实现的QPSK数字调制解调器具有体积小、集成度高和软件可升级等优点,这为设计高集成和高灵活性的通信系统提供了技术基础。

    标签: QPSK FPGA 基带 通信设计

    上传时间: 2013-07-08

    上传用户:xinshou123456

  • FPGA技术在全数字化超声诊断仪中的应用研究

    数字超声诊断设备在临床诊断中应用十分广泛,研制全数字化的医疗仪器已成为趋势。尽管很多超声成像仪器设计制造中使用了数字化技术,但是我们可以说现代VLSI 和EDA 技术在其中并没有得到充分有效的应用。随着现代电子信息技术的发展,PLD 在很多与B 型超声成像或多普勒超声成像有关的领域都得到了较好的应用,例如数字通信和相控雷达领域。 在研究现代超声成像原理的基础上,我们首先介绍了常见的数字超声成像仪器的基本结构和模块功能,同时也介绍了现代FPGA 和EDA 技术。随后我们详细分析讨论了B 超中,全数字化波束合成器的关键技术和实现手段。我们设计实现了片内高速异步FIFO 以降低采样率,仿真结果表明资源使用合理且访问时间很小。正交检波方法既能给出灰度超声成像所需要的回波的幅值信息,也能给出多普勒超声成像所需要的回波的相移信息。我们设计实现了基于直接数字频率合成原理的数控振荡器,能够给出一对幅值和相位较平衡的正交信号,且在FPGA 片内实现方案简单廉价。数控振荡器输出波形的频率可动态控制且精度较高,对于随着超声在人体组织深度上的穿透衰减,导致回波中心频率下移的声学物理现象,可视作将回波接收机的中心频率同步动态变化进行补偿。 还设计实现了B 型数字超声诊断仪前端发射波束聚焦和扫描控制子系统。在单片FPGA 芯片内部设计实现了聚焦延时、脉宽和重复频率可动态控制的发射驱动脉冲产生器、线扫控制、探头激励控制、功能码存储等功能模块,功能仿真和时序分析结果表明该子系统为设计实现高速度、高精度、高集成度的全数字化超声诊断设备打下了良好的基础,将加快其研发和制造进程,为生物医学电子、医疗设备和超声诊断等方面带来新思路。

    标签: FPGA 全数字 中的应用 超声诊断仪

    上传时间: 2013-06-18

    上传用户:hfmm633

  • 数字相关器解调系统设计与FPGA实现

    数字相关器是无线数字接收机的重要组成部分,它主要用于对中频数字化后的信号进行解调和同步,从而恢复出原始的基带数据.本文的重点是如何高效的实现无线通信接收系统中数字中频部分,主要研究如何对MSK信号进行正确、有效、实时的解调,其内容包括1.MSK信号简介及分析,研究其特征,以便有效的对其解调.2.对解调技术中涉及的重点模块,比如NCO、CORDIC算法等做了理论上的介绍与分析.3.MSK信号的数字解调技术,比较了各种解调技术,主要是正交解调和差分解调,分析了它们的优势和劣势,并进行了仿真验证.4.在FPGA中实现了数字中频系统的各个关键模块.5.最终的解调模块在实际的PCB基板上调试通过,并应用在实际产品中.

    标签: FPGA 数字相关器 解调 系统设计

    上传时间: 2013-06-21

    上传用户:1222

  • 基于OFDM的PLC通信系统同步模块的FPGA实现

    电力线通信技术利用分布广泛的低压电力线作为通信信道,实现internet高速互连,为用户提供互联网访问、视频点播等服务,形成包括电力在内的“四网合一”,目前正受到人们的关注。利用该技术,可以在居民区内建立宽带接入网,也可以利用遍布家庭各个房间的电源插座组成家庭局域网。但是电力线是传输电能的,因此通过电力线传输数据有许多的问题需要解决。 OFDM(正交频分复用)技术是实现电力线通信的一项热门技术。OFDM采用添加循环前缀的技术,能有效地降低ICI(信道间干扰)和ISI(码间干扰)。同时通过使用正交的子信道,大大提高了频谱资源利用率。FPGA作为可编程逻辑器件,具有设计时间短、投资少、风险小的特点,而且可以反复修改,反复编程,直到完全满足需要,具有其他方式无可比拟的方便性和灵活性,能够加速数字系统的研发速度。本文着重研究了OFDM同步技术在FPGA上的实现。本论文主要是在项目组工作的基础上构造双路信号数据纠正算法流程,提出最佳采样点与载波相位估计算法,完善中各个子模块算法的硬件设计流程。内容安排如下:第一章介绍OFDM(正交频分复用)技术的发展历史、技术原理。第二章介绍了PLD的分类、工艺和结构特点,以及FPGA的开发环境、开发流程和Verilog语言的特点。第三章对OFDM系统的同步模块进行详细的阐述。第四章是OFDM同步算法的在FPGA上的实现,对各个子模块进行仿真,给出了仿真波形图和系统性能分析。最后,第五章总结了全文的工作,对OFDM技术的实现需要进一步完善的方面与后续工作进行了探讨。

    标签: OFDM FPGA PLC 通信系统

    上传时间: 2013-04-24

    上传用户:hgy9473

  • 基于ARMDSP的OFDM水下图像传输系统的研究与实现

    正交频分复用(Orthogonal Frequency Division Multiplexing,OFDM)技术是一种多载波传输技术,它的基本思想是在频域内将给定信道划分成几个相互正交的子信道,每个子信道使用一个子载波进行调制,各子载波并行传输。该技术可以有效提高频谱利用率,能够对抗多径效应产生的频率选择性衰弱和载波间干扰,在时变、频变、多径干扰严重的水声信道中具有较强的优势。 随着计算机和多媒体通信技术的发展,嵌入式系统在各个领域的应用不断深入。其中,基于ARM技术知识产权(IP)核的微处理器依靠其高性能、低功耗和易扩展的特点,在工业控制、无线通信、消费电子等多个领域得到广泛的应用;随着嵌入式系统复杂度的提高,操作系统已成为嵌入式系统不可缺少的一部分。其中,嵌入式Linux凭借免费开源、功能强大、成熟稳定等特点,目前已成为主要的嵌入式操作系统之一。 数字信号处理器(Digital Signal Processor,DSP)具有很强的数字信号处理能力,可以满足各种高实时要求,但其寻址范围小,I/O功能较差。ARM+DSP双处理器的结构可以充分利用ARM和DSP各自的优势实现协同工作。 本论文的主要工作是研究和实现一个基于OFDM技术的由ARM+DSP硬件平台实现的能够完成水下声信道图像传输的系统。主要研究内容包括OFDM系统的基本原理、ARM+DSP底层硬件的驱动和控制,Linux操作系统的移植、MiniGUI人机界面的设计、相关应用软件的编写以及在TMS320VC5502上初步实现OFDM的调制解调,以期对今后水下图像传输系统的实现能具有较大的参考价值。

    标签: ARMDSP OFDM 图像传输系统

    上传时间: 2013-05-20

    上传用户:Ruzzcoy

  • ChenMobius数字通信系统的MATLAB仿真及FPGA实现

    自上个世纪九十年代以来,我国著名学者、现中国科学院院士、清华大学陈难先教授等人使用无穷级数的Mobius反演公式解决了一系列重要的应用物理中的逆问题,例如费米体系逆问题、信号处理等,开创了应用、推广数论中的Mobius变换解决物理学中各种逆问题的巧妙方法,其工作在1990年得到了世界著名的《NATURE》杂志的整版专评与高度评价。华侨大学苏武浔、张渭滨教授等则把Mobius变换的方法应用于几种常用波形(包括周期矩形脉冲,奇偶对称方波和三角波等)的傅立叶级数的逆变换运算,得到正、余弦函数及一般周期信号的各种常用波形的信号展开;并求得了与各种常用波形信号函数族相正交的函数族,以用于各展开系数的计算与信息的解调;而后把它们应用到通信系统中,提出了一种新的通信系统,即新型Chen-Mobius通信系统。 在新型通信系统中,把这种正交函数族应用于系统的相干调制解调中,取代传统通信系统中调制解调所采用的三角正交函数族。正是这种正交函数族使得通信系统的传输性能大大提高,保密性加强,而且正交函数族产生很方便。 本文从软件仿真和硬件实现两个方面对Chen-Mobius通信系统进行了验证。首先,利用MATLAB软件构建Chen-Mobius数字通信系统,通过计算机编程,对Chen-Mobius单路、四路和八路的数字通信系统进行仿真分析,对该系统在不同信噪比情况下的错误概率进行了计算,并绘出了信噪比-错误概率曲线;其次,在QuartusⅡ软件平台上,利用VHDL语言文本输入和原理图输入的方法构建Chen-Mobius数字通信系统,对该系统进行了仿真,包括设计综合、引脚分配、仿真验证、时序分析等;再次,在QuartusⅡ软件仿真的基础上,在Altera公司的Stratix GX芯片上,实现了硬件的编程和下载,从而完成了Chen-Mobius数字通信系统的FPGA实现;最后,从MATLAB软件仿真和硬件实现的结果出发,通过分析系统的性能,简单展望了Chen-Mobius数字通信系统的应用前景。 本文通过软件仿真得到了Chen-Mobius数字通信系统的信噪比-错误概率曲线,从理论上验证了该系统的强的抗干扰能力;利用FPGA完成了系统的硬件实现,从实际上验证了该系统的可实现性。从两方面都可以说明,Chen-Mobius通信系统虽然只是一个新的起点,但它却预示着光明的应用前景。

    标签: ChenMobius MATLAB FPGA 数字通信系统

    上传时间: 2013-05-19

    上传用户:sa123456

  • OFDM信道估计模块运算部件的FPGA设计

    正交频分复用(OnIlogonaJ Frequency Division Multiplexing,OFDM)技术通过将整个信道分为多个带宽相等并行传输的子信道,通过将信息经过子信道独立传输来实现通信,子信道的正交性可以保证最大限度的利用频谱资源。OFDM系统通过循环前缀来消除符号间干扰(ISI),通过IDFT/DFT调制解调降低了系统实现的复杂度。由于其频谱利用率高,抗多径能力强,在多种通信场合中都得到了应用。虽然有着上述优点,但为了准确的恢复信号,信道估计是OFDM系统中必须实现的一环。 本文正是针对OFDM接收机中的信道估计模块的运算部件的实现进行了研究。首先,研究了OFDM信道估计的LS算法,一阶线性插值算法,二次多项式插值算法,建立了适用于宽带通信系统的信道估计模块模型。其次研究了加法器电路和乘法器电路的实现,包括进位行波加法器,曼彻斯特进位链,超前进位加法器和乘法原理,阵列乘法器,wallace树乘法器及BOOTH编码算法,并分析了各种电路的特性及优缺点。接着研究了几种主要的除法器设计算法,包括数字循环算法,基于函数迭代的算法,以及CORDIC算法,结合信道估计的特点选择了函数迭代和CORDIC算法作为具体实现的方法。最后,在前面的设计的基础上在FPGA芯片上实现了前面的设计方案。

    标签: OFDM FPGA 信道估计 模块

    上传时间: 2013-06-06

    上传用户:yyyyyyyyyy

  • OFDM基带调制系统在FPGA上的实现

    本文着重研究了OFDM调制解调技术在FPGA上的实现。全文内容安排如下:  第一章介绍了PLD(可编程逻辑器件)和OFDM(正交频分复用)技术的发展历史。  第二章介绍了PLD的分类、工艺和结构特点,以及FPGA的开发环境、开发流程和Verilog语言的特点。  第三章就OFDM系统中的基本概念进行了详细的阐述。  第四、五章是OFDM算法的在FPGA上的实现,首先对要实现的算法进行分析,给出了需要实现的指标。然后给出了FPGA的实现方案,对系统的进行仿真,给出了仿真波形图和系统性能分析。  第六章总结了全文的工作,对OFDM技术的实现需要进一步完善的方面进行了探讨。

    标签: OFDM FPGA 基带 调制系统

    上传时间: 2013-08-05

    上传用户:跃跃,,