虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

数字视频

数字视频就是先用摄像机之类的视频捕捉设备,将外界影像的颜色和亮度信息转变为电信号,再记录到储存介质(如录像带)。
  • 实时视频缩放算法研究及FPGA实现.rar

    调整视频图像的分辨率需要视频缩放技术。如果图像缩放技术的处理速度达到实时性要求就可以应用于视频缩放。 传统图像缩放技术利用插值核函数对已有像素点进行插值重建还原图像。本文介绍了图像插值的理论基础一采样定理,并对理想重建函数Sinc函数进行了讨论。本文介绍了常用的线性图像插值技术及像素填充、自适应插值和小波域图像缩放等技术。然后,本文讨论了分级线性插值算法的思想,设计并实现了FPGA上的分级双三次算法。最后本文对各种算法的缩放效果进行了分析和讨论。 本文在分析现有视频缩放算法基础之上,提出了分级线性插值算法,并应用在简化线性插值算法中。分级线性插值算法以牺牲一定的计算精度为代价,用查找表代替乘法计算,降低了算法复杂度。本文设计并实现了分级双三次插值算法,详细说明了板上系统的模块结构。最后本文将分级线性插值算法与原线性插值算法效果图进行比较,比较结果显示分级插值算法与原算法误差较小,在放大比例较小时可以取代原算法。结果证明分级双三次线性插值算法的FPGA实现能够满足额定帧频,可以进行实时视频缩放。

    标签: FPGA 实时视频 算法研究

    上传时间: 2013-04-24

    上传用户:亚亚娟娟123

  • 数字电子技术-中文经典.rar

    数字电子技术-中文经典 是学习数字电路一份非常有用的PPT教程

    标签: 数字电子技术

    上传时间: 2013-04-24

    上传用户:uuuuuuu

  • FPGA内全数字延时锁相环的设计.rar

    现场可编程门阵列(FPGA)的发展已经有二十多年,从最初的1200门发展到了目前数百万门至上千万门的单片FPGA芯片。现在,FPGA已广泛地应用于通信、消费类电子和车用电子类等领域,但国内市场基本上是国外品牌的天下。 在高密度FPGA中,芯片上时钟分布质量变的越来越重要,时钟延迟和时钟偏差已成为影响系统性能的重要因素。目前,为了消除FPGA芯片内的时钟延迟,减小时钟偏差,主要有利用延时锁相环(DLL)和锁相环(PLL)两种方法,而其各自又分为数字设计和模拟设计。虽然用模拟的方法实现的DLL所占用的芯片面积更小,输出时钟的精度更高,但从功耗、锁定时间、设计难易程度以及可复用性等多方面考虑,我们更愿意采用数字的方法来实现。 本论文是以Xilinx公司Virtex-E系列FPGA为研究基础,对全数字延时锁相环(DLL)电路进行分析研究和设计,在此基础上设计出具有自主知识产权的模块电路。 本文作者在一年多的时间里,从对电路整体功能分析、逻辑电路设计、晶体管级电路设计和仿真以及最后对设计好的电路仿真分析、电路的优化等做了大量的工作,通过比较DLL与PLL、数字DLL与模拟DLL,深入的分析了全数字DLL模块电路组成结构和工作原理,设计出了符合指标要求的全数字DLL模块电路,为开发自我知识产权的FPGA奠定了坚实的基础。 本文先简要介绍FPGA及其时钟管理技术的发展,然后深入分析对比了DLL和PLL两种时钟管理方法的优劣。接着详细论述了DLL模块及各部分电路的工作原理和电路的设计考虑,给出了全数字DLL整体架构设计。最后对DLL整体电路进行整体仿真分析,验证电路功能,得出应用参数。在设计中,用Verilog-XL对部分电路进行数字仿真,Spectre对进行部分电路的模拟仿真,而电路的整体仿真工具是HSIM。 本设计采用TSMC0.18μmCMOS工艺库建模,设计出的DLL工作频率范围从25MHz到400MHz,工作电压为1.8V,工作温度为-55℃~125℃,最大抖动时间为28ps,在输入100MHz时钟时的功耗为200MW,达到了国外同类产品的相应指标。最后完成了输出电路设计,可以实现时钟占空比调节,2倍频,以及1.5、2、2.5、3、4、5、8、16时钟分频等时钟频率合成功能。

    标签: FPGA 全数字 延时

    上传时间: 2013-06-10

    上传用户:yd19890720

  • OFDM系统同步及解调的FPGA实现.rar

    自20世纪80年代以来,正交频分复用技术不但在广播式数字音频和视频领域得到广泛的应用,而且已经成为无线局域网标准(例如IEEE802.11a和HiperLAN/2等)的一部分。OFDM由于其频谱利用率高,成本低等原因越来越受到人们的关注。随着人们对通信数据化、宽带化、个人化和移动化需求的增强,OFDM技术在综合无线接入领域将会获得越来越广泛的应用。人们开始集中越来越多的精力开发OFDM技术在移动通信领域的应用,本文也是基于无线通信平台上的OFDM技术的运用。 本文的所有内容都是建立在空地数据无线通信系统下行链路FPGA实现基础上的。本文作者的主要工作集中在链路接收端的FPGA实现和调试上。主要包括帧同步(时间同步)算法的研究与设计、OFDM频率同步算法的研究与设计以及同步模块、OFDM解调模块、QAM解调模块的FPGA实现。最终实现高速数字图像传输系统下行链路在无线环境中连通。 对于无线移动通信系统而言,多普勒频移、收发设备的本地载频偏差均可能破坏OFDM系统子载波之间的正交性,从而导致ICI,影响系统性能。另外,由于OFDM系统大多采用IFFT/FFT实现调制解调,因此在接收方确定FFT的起点对数据的正确解调也至关重要。同步技术即是针对系统中存在的定时偏差、频率偏差进行定时、频偏的估计与补偿,来减少各种同步偏差对系统性能的影响。在OFDM实现的关键技术中,同步技术是十分重要的一部分。本文花费了三个章节阐述了同步技术的原理、算法和实现方法。 目前OFDM系统的载波同步方案,可以归纳为三大类:辅助数据类,盲估计类和基于循环前缀的半盲估计类。本文首先分析了各种载波同步方案的优缺点,并举例说明了各个载波同步方式的实现方法。然后具体阐述了本文在FPGA平台上实现的OFDM接收端同步的同步方式,包括其具体算法和FPGA实现结构。本文所采用的帧同步和频率同步方案都是采用辅助数据类的,在阐述其具体算法的同时对算法在不同参数和不同形式下的性能做出了仿真对比分析。 OFDM的解调采用FFT算法,在FPGA上的实现是十分方便的。本文主要阐述其实现结构,重点放在提取有效数据部分有效数据位置的推导过程。最后介绍了本文实现QAM软解调的解调方法。 本文阐述算法采用先提出原理,然后给出具体公式,再根据公式中的系数和变量分析算法性能的方式。在阐述实现方式时首先给出实现框图,然后对框图中比较重要或者复杂的部分进行详细阐述。在介绍完每个模块实现方式之后给出了仿真或者上板结果,最后再给出整体测试结果。

    标签: OFDM FPGA

    上传时间: 2013-06-26

    上传用户:希酱大魔王

  • 基于FPGA的数字存储示波器的设计.rar

    数字存储示波器在仪器仪表领域中占有重要的地位,应用范围相当广泛,所以对示波器的研制有重要的理论和实际意义。本文针对数字存储示波器的设计进行了深入的研究,旨在研制出100MHz带宽的数字存储示波器。 从各个方面考虑,选用了DSP、FPGA和单片机的方案来设计整个系统。整个系统采用单通道的方式。信号进来首先经过前端的调理电路把信号电压调整到AD的输入电压范围之内,这里调理电路主要是由信号衰减电路和信号放大电路所组成。调理后的信号再送到AD变换电路里面完成信号的数字化。然后把AD转换后的数据送到FPGA中,并把数据保存到FPGA中的FIFO中,FPGA中的电路主要包括有FIFO、触发系统、峰值检测、时基电路等。 DSP处理器主要是用来从FIFO中提取数据并进行相应的处理。因为DSP运算速度快,所以本文利用DSP来完成滤波和波形重建的时候的插值算法等功能。然后DSP利用其多缓冲串口把数据送到单片机,单片机把从DSP中发送过来的数据显示到LCD上,同时利用单片机来管理键盘等功能。在软件方面主要完成了程序的一些初始化驱动,比如说是FLASH驱动、LCD驱动、DSP串口初始化、FPGA初始化等相关工作。 由于本文采用FPGA,使得数字存储示波器的设计比较灵活,容易升级。可以根据自己的需要进行相关的改进,例如对外围电路做进一步地扩展。

    标签: FPGA 数字存储示波器

    上传时间: 2013-04-24

    上传用户:hw1688888

  • 基于FPGA的软件无线电数字接收机的研究.rar

    在现代电子系统中,数字化已经成为发展的必然趋势,接收机数字化是电子系统数字化中的一项重要内容,对数字化接收机的研究具有重要的意义。随着数字化理论和微电子技术的迅速发展,高速的中频数字化接收机的实现已经成为可能。本文研究了一种基于FPGA的软件无线电数字接收平台的设计,并着重研究了其中数字中频处理单元的设计和实现。FPGA器件具有设计灵活、开发周期短和开发成本低等优点,所以广泛应用于各种通信系统中。相比于传统的DSP串行结构,FPGA能够进行流水线性设计,对数据进行并行处理,所以FPGA在进行数据量大,要求实时处理的系统设计时有很大的优势。 本文首先首先分析了软件无线电当前的发展趋势及技术现状,针对存在的处理速度跟不上的DSP瓶颈问题,提出了中频软件无线电的FPGA实现方案。本文以FPGA实现为重点,在深入分析软件无线电相关理论的基础上,着重研究和完成了中频软件无线电数字接收平台两大模块的FPGA实现:数字下变频相关模块和数字调制解调模块。其中,在深入研究数字下变频实现结构的基础上,首先对数字下变频模块的数控振荡器(NCO)采用了直接频率合成技术(DDS)实现,其频率分辨率高,灵活,易于实现;高效抽取滤波器组由积分梳状滤波器(CIC),半带滤波器(HB),FIR滤波器组成。对积分梳状滤波器(CIC)本文采用了Hogenaur“剪除”理论对内部寄存器的位宽进行改进,极大地节约了资源,提高了运行速率。对FIR滤波器和半带滤波器采用了(DA)分布式算法,它的运行速度只与数据的宽度有关,只有加减法运算和二进制除法,既缩减了系统资源又大大节省了运算时间,实现了高效的实时处理。对数字调制解调模块,重点研究和完成了2ASK和2FSK的调制解调的FPGA实现,模块有很好的通用性,能方便地移植到其它的系统中。在文章的最后还对整个系统进行了Matlab仿真,验证了系统设计思想的正确性。在系统各个关键模块的设计过程中,都是先依据一定的设计指标进行verilog编程,然后再在Quartus软件中编译,时序仿真测试,并与Matlab仿真结果进行对比,验证设计的正确性。

    标签: FPGA 软件无线电 数字接收机

    上传时间: 2013-05-18

    上传用户:450976175

  • DVB系统信道编码的研究与FPGA实现.rar

    数字图像通信的最广泛的应用就是数字电视广播系统,与以往的模拟电视业务相比,数字电视在节省频谱资源、提高节目质量方面带来了一场新的革命,而与此对应的DVB(Digital Video Broadcasting)标准的建立更是加速了数字电视广播系统的大规模应用。DVB标准选定MPEG—2标准作为音频及视频的编码压缩方式,随后对MPEG—2码流进行打包形成TS流(transport stream),进行多个传输流复用,最后通过不同媒介进行传输。在DVB标准的传输系统中,无论是卫星传输,电缆传输还是地面传输,为了保障图像质量,使数字节目在传输过程中避免出现因受到各种信道噪声干扰而出现失真的现象,都采用了信道编码的方式来保护传输数据。信道编码是数字通信系统中一个必需的、重要的环节。 信道编码设计方案的优劣决定了DVB系统的成功与否,本文重点研究了DVB系统中的信道编码算法及其FPGA实现方案,主要进行了如下几项工作: 1)介绍了DVB系统信道编码的基本概念及特点,深入研究了DVB标准中信道编码部分的关键技术,并针对每个信道编码模块进行工作原理分析、算法分析。 2)根据DVB信道编码的特点,重点对信道编码中四个模块,包括扰码、RS编码、卷积交织编码和卷积编码的FPGA硬件实现算法进行了比较详细的分析,并阐述了每个模块及QPSK调制的设计方案及实现模块功能的程序流程。 3)在RS(204,188)编码过程中,利用有限域常数乘法器的特点,对编码器进行了优化,在很大程度上提高了编码效率,卷积交织器部分采用RAM移位法,实现起来更为简单且节省了FPGA器件内部资源。 4)设计以Altera公司的QuartusⅡ为开发平台,利用FPGA芯片EP1C6Q240C8完成了信道编码各模块及QPSK调制的硬件实现,通过Verilog HDL描述和时序仿真来验证算法的可行性,并给出系统设计中减少毛刺的方法,使系统更为稳定。最终的系统仿真结果表明该系统工作稳定,达到了DVB系统信道编码设计的要求。

    标签: FPGA DVB

    上传时间: 2013-06-26

    上传用户:allen-zhao123

  • 高速实时信号处理系统的FPGA软件设计与实现.rar

    随着现代DSP、FPGA等数字芯片的信号处理能力不断提高,基于软件无线电技术的现代通信与信息处理系统也得到了更为广泛的应用。软件无线电的基本思想是以一个通用、标准、模块化的硬件系统作为其应用平台,把尽可能多的无线及个人通信和信号处理的功能用软件来实现,从而将无线通信新系统、新产品的开发逐步转移到软件上来。另一方面,现代信号处理系统对数据的处理速度、处理精度和动态范围的要求也越来越高,需要每秒完成几千万到几百亿次运算。因此研制具备高速实时信号处理能力的通用硬件平台越来越受到业界的重视。 @@ 目前的高速实时信号处理系统一般均采用DSP+FPGA的架构,其中DSP主要负责完成系统通信和基带信号处理算法,而FPGA主要完成信号预处理等前端算法,并提供系统常用的各种外部接口逻辑。本文的主要工作就在于完成通用型高速实时信号处理系统的FPGA软件设计。 @@ 本文提出了一种基于多DSP与FPGA的通用高速实时信号处理系统的架构。综合考虑各方面因素,作者选择使用两片ADSP-TS201浮点DSP以混合耦合模型构成系统信号处理核心;以Xilinx公司最新的高性能FPGA Virtex-5系列的XC5VLX50T提供系统所需的各种接口,包括与ADSP-TS201的高速Linkport接口以及SPI、UART、SPORT等常用外设接口。此外,作者还选择了ADSP-BF533定点DSP加入系统当中以扩展系统音视频信号处理能力,体现系统的通用性。 @@ 基于FPGA的嵌入式系统设计正逐渐成为现代FPGA应用的一个热点。结合课题需要,作者以Xilinx公司的MicroBlze软核处理器为核心在Virtex-5片内设计了一个嵌入式系统,完成了对CF卡、DDR2 SDRAM存储器的读写控制,并利用片内集成的三态以太网MAC硬核模块,实现了系统与上位PC机之间的以太网通信链路。此外,为扩展系统功能,适应未来可能的软件升级,进一步提高系统的通用性,还将嵌入式实时操作系统μC/OS-II移植到MicroBlaze处理器上。 @@ 最后,作者介绍了基于Xilinx RocketIO GTP收发器的高速串行传输设计的关键技术和基本的设计方法,充分体现了目前高速实时信号处理系统的发展要求和趋势。 @@关键词:高速实时信号处理;FPGA;Virtex-5;嵌入式系统;MicroBlaze

    标签: FPGA 实时信号 处理系统

    上传时间: 2013-05-17

    上传用户:wangchong

  • 基于FPGA的通用实时信号处理系统的硬件设计与实现.rar

    近年来,以FPGA为代表的数字系统现场集成技术取得了快速的发展,FPGA不但解决了信号处理系统小型化、低功耗、高可靠性等问题,而且基于大规模FPGA单片系统的片上可编程系统(SOPC)的灵活设计方式使其越来越多的取代ASIC的市场。传统的通用信号处理系统使用DSP作为处理核心,系统的可重构型不强,FPGA解决了这一问题,并且现有的FPGA中,多数已集成DSP模块,结合FPGA较强的信号并行处理特性使其与DSP信号处理能力差距很小。因此,FPGA作为处理核心的通用信号处理系统具有很强的可实施性。 @@ 基于上述要求,作者设计和完成了一个基于多FPGA的通用实时信号处理系统。该系统采用4片XC3SD1800A作为处理核心,使用DDR2 SDRAM高速存储实时数据。作者通过全面的分析,设计了核心板、底板和应用板分离系统架构。该平台能够根据实际需求进行灵活的搭配,核心板之间的数据传输采用了LVDS(低电压差分信号)技术,从而使得数据能够稳定的以非常高的速率进行传输。 @@ 本系统属于高速数字电路的设计范畴,因此必须重视信号完整性的设计与分析问题,作者根据高速电路的设计惯例和软件辅助设计的方法,在分析和论证了阻抗控制、PCB堆叠、PCB布局布线等约束的基础上,顺利地完成了PCB绘制与调试工作。 @@ 作为系统设计的重要环节,作者还在文中研究了在系统设计过程中出现的电源完整性问题,并给出了解决办法。 @@ LVDS高速数据通道接口和DDR2存储器接口设计决定本系统的使用性能,本文基于所选的FPGA芯片进行了详细的阐述和验证。并结合系统的核心板和底板,完成了应用板,视频图像采集、USB、音频、LCD和LED矩阵模块显示等接口的设计工作,对其中的部分接口进行了逻辑验证。 @@ 经过测试,该通用的信号处理平台具有实时性好、通用性强、可扩展和可重构等特点,能够满足当前一些信号处理系统对高速、实时处理的要求,可以广泛应用于实时信号处理领域。通过本平台的研究和开发工作,为进一步研究和设计通用、实时信号处理系统打下了坚实的基础。 @@关键词:通用实时信号处理;FPGA;信号完整性;DDR2;LVDS

    标签: FPGA 实时信号 处理系统

    上传时间: 2013-05-27

    上传用户:qiaoyue

  • MPEG2视频解码器的FPGA设计.rar

    MPEG-2是MPEG组织在1994年为了高级工业标准的图象质量以及更高的传输率所提出的视频编码标准,其优秀性使之成为过去十年应用最为广泛的标准,也是未来十年影响力最为广泛的标准之一。 本文以MPEG-2视频标准为研究内容,建立系统级设计方案,设计FPGA原型芯片,并在FPGA系统中验证视频解码芯片的功能。最后在0.18微米工艺下实现ASIC的前端设计。完成的主要工作包括以下几个方面: 1.完成解码系统的体系结构的设计,采用了自顶而下的设计方法,实现系统的功能单元的划分;根据其视频解码的特点,确定解码器的控制方式;把视频数据分文帧内数据和帧间数据,实现两种数据的并行解码。 2.实现了具体模块的设计:根据本文研究的要求,在比特流格式器模块设计中提出了特有的解码方式;在可变长模块中的变长数据解码采用组合逻辑外加查找表的方式实现,大大减少了变长数据解码的时间;IQ、IDCT模块采用流水的设计方法,减少数据计算的时间:运动补偿模块,针对模块数据运算量大和访问帧存储器频繁的特点,采用四个插值单元同时处理,增加像素缓冲器,充分利用并行性结构等方法来加快运动补偿速度。 3.根据视频解码的参考软件,通过解码系统的仿真结果和软件结果的比较来验证模块的功能正确性。最后用FPGA开发板实现了解码系统的原型芯片验证,取得了良好的解码效果。 整个设计采用Verilog HDL语言描述,通过了现场可编程门阵列(FPGA)的原型验证,并采用SIMC0.18μm工艺单元库完成了该电路的逻辑综合。经过实际视频码流测试,本文设计可以达到MPEG-2视频主类主级的实时解码的技术要求。

    标签: MPEG2 FPGA 视频解码器

    上传时间: 2013-07-27

    上传用户:ice_qi