虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

延时分析

  • 采用A3955和PIC16C621A的步进电机驱动器设计

    摘要: 本文介绍了利用A3955对步进电机实现控制的方法及其电路设计。关键词: 步进电机;PIC16C621A; A3955;脉宽调制 步进电机广泛应用于对精度要求比较高的运动控制系统中,如机器人、打印机、软盘驱动器、绘图仪、机械阀门控制器等。目前,对步进电机的控制主要有由分散器件组成的环形脉冲分配器、软件环形脉冲分配器、专用集成芯片环形脉冲分配器等。分散器件组成的环形脉冲分配器体积比较大,同时由于分散器件的延时,其可靠性大大降低;软件环形分配器要占用主机的运行时间,降低了速度;专用集成芯片环形脉冲分配器集成度高、可靠性好,但其适应性受到限制,同时开发周期长、需求费用较高。 步进电机控制步进电机是数字控制电机,它将脉冲信号转变成角位移,即给一个脉冲信号,步进电机制。步进电机可分为反应式步进电机(VR)、永磁式步进电机(PM)和混合式步进电机(HB)。 步进电机区别于其他控制电机的最大特点是,它是通过输入脉冲信号来进行控制的,即电机的总转动角度由输入脉冲数决定,而电机的转速由脉冲信号频率决定。步进电机的驱动电路根据控制信号工作,控制信号由单片机产生。就转动一个角度,因此非常适合于单片机控。

    标签: A3955 621A C621 16C

    上传时间: 2013-12-05

    上传用户:lionlwy

  • Linux下一种高性能定时器池的实现

    提出Linux用户空间下的一种高性能定时器池的实现方法。主要基于时间轮、红黑树及Linux内核提供了一种利于管理的定时器句柄Timerfd。结合红黑树、位图、时间轮等技术,设计一种高性能级定时器池。池中定时器的粒度可达到40 ms,满足用户空间低延时的应用需求,同时又可以方便地管理一定数量的定时器。

    标签: Linux 性能 定时器

    上传时间: 2014-12-29

    上传用户:lht618

  • 基于LPC2292的发声程序

    开发板为Embest公司的LPCEB2000-B,本例程使用了定时器中断实现延时,对于研究LPC处理器中断的开发人员有所帮助!

    标签: 2292 LPC 发声程序

    上传时间: 2013-10-19

    上传用户:qimingxing130

  • FM-DCSK混沌通信系统的原型设计

    系统发射部分由Lorenz混沌电路和调频电路产生混沌调频载波信号,经采样后在FPGA中实现差分延时和调制;接收部分基于非相干相关法,位同步模块采用相关值与能量比值作为定时测度,通过设置门限和滑动搜索窗口寻找初始同步,而后引入数字锁相环进行相关峰值跟踪和位同步调整。

    标签: FM-DCSK 混沌 原型设计 通信系统

    上传时间: 2013-10-27

    上传用户:wkxiian

  • 温湿度传感器 sht11 仿真程序下载

    温湿度传感器 sht11 仿真程序 sbit out =P3^0; //加热口  //sbit input =P1^1;//检测口  //sbit speek =P2^0;//报警  sbit clo =P3^7;//时钟  sbit ST =P3^5;//开始  sbit EOC =P3^6;//成功信号  sbit gwei =P3^4;//个位  sbit swei =P3^3;//十位 sbit bwei =P3^2;//百位 sbit qwei =P3^1;//千位 sbit speak =P0^0;//报警音 sbit bjled =P0^1;//报警灯 sbit zcled =P0^2;//正常LED  int count;  uchar xianzhi;//取转换结果 uchar seth;//高时间 uchar setl;//低时间 uchar seth_mi;//高时间 uchar setl_mi;//低时间  bit  hlbz;//高低标志  bit  clbz;  bit  spbz;       ///定时中断程序/// void t0 (void) interrupt 1 using 0 {     TH0=(65536-200)/256;//5ms*200=1000ms=1s   TL0=(65536-200)%256;  clo=!clo;//产生时钟      if(count>5000)   {     if(hlbz)            {       if(seth_mi==0){seth_mi=seth;hlbz=0;out=0;}    else seth_mi--;       }     if(!hlbz)            {       if(setl_mi==0){setl_mi=setl;hlbz=1;out=1;}    else setl_mi--;       }   count=0;   }      else count++;         } ///////////// ///////延时/////// delay(int i) {    while(--i);          }     ///////显示处理/////// xianshi() {      int   abcd=0;     int i;     for (i=0;i<5;i++) {   abcd=xianzhi;  gwei=1;  swei=1;  bwei=1;  qwei=1;  P1=dispcode[abcd/1000];   qwei=0;  delay(70);   qwei=1;  abcd=abcd%1000;  P1=dispcode[abcd/100];  bwei=0;  delay(70);  bwei=1;   abcd=abcd%100;  P1=dispcode[abcd/10];  swei=0;  delay(70);  swei=1;  abcd=abcd%10;  P1=dispcode[abcd];  gwei=0;  delay(70);  gwei=1;  } }   doing()   {     if(xianzhi>100)     {bjled=0;speak=1;zcled=1;}  else {bjled=1;speak=0;zcled=0;}   }   void main(void)  {  seth=60;//h60秒  setl=90;//l90秒  seth_mi=60;//h60秒  setl_mi=90;//l90秒  TMOD=0X01;//定时0 16位工作模式   TH0=(65536-200)/256;   TL0=(65536-200)%256;    TR0=1; //开始计时  ET0=1;   //开定时0中断  EA=1;    //开全中断  while(1)  {      ST=0;    _nop_();     ST=1;    _nop_();     ST=0;  //   EOC=0;          xianshi();       while(!EOC)   {         xianshi();    }        xianzhi=P2;             xianshi();     doing();  }  }

    标签: sht 11 温湿度传感器 仿真程序

    上传时间: 2013-11-07

    上传用户:我们的船长

  • 基于FPGA的无人机控制器设计与实现

    根据无人机系统的控制特点,提出了一种基于FPGA的无人机控制器设计方案,并完成了该方案的软硬件设计。该方案将键盘扫描、AD采样、指令编码与显示和指令异步串行发送等功能模块集成到FPGA内部,简化了控制器硬件结构。实际应用表明,该无人机控制器具有指令群延时低、功能可扩展性强等优点,能够满足使用要求。

    标签: FPGA 无人机 制器设计

    上传时间: 2013-10-30

    上传用户:jiahao131

  • 华为 FPGA设计高级技巧Xilinx篇

      随着HDL Hardware Description Language 硬件描述语言语言综合工具及其它相关工具的推广使广大设计工程师从以往烦琐的画原理图连线等工作解脱开来能够将工作重心转移到功能实现上极大地提高了工作效率任何事务都是一分为二的有利就有弊我们发现现在越来越多的工程师不关心自己的电路实现形式以为我只要将功能描述正确其它事情交给工具就行了在这种思想影响下工程师在用HDL语言描述电路时脑袋里没有任何电路概念或者非常模糊也不清楚自己写的代码综合出来之后是什么样子映射到芯片中又会是什么样子有没有充分利用到FPGA的一些特殊资源遇到问题立刻想到的是换速度更快容量更大的FPGA器件导致物料成本上升更为要命的是由于不了解器件结构更不了解与器件结构紧密相关的设计技巧过分依赖综合等工具工具不行自己也就束手无策导致问题迟迟不能解决从而严重影响开发周期导致开发成本急剧上升   目前我们的设计规模越来越庞大动辄上百万门几百万门的电路屡见不鲜同时我们所采用的器件工艺越来越先进已经步入深亚微米时代而在对待深亚微米的器件上我们的设计方法将不可避免地发生变化要更多地关注以前很少关注的线延时我相信ASIC设计以后也会如此此时如果我们不在设计方法设计技巧上有所提高是无法面对这些庞大的基于深亚微米技术的电路设计而且现在的竞争越来越激励从节约公司成本角度出 也要求我们尽可能在比较小的器件里完成比较多的功能   本文从澄清一些错误认识开始从FPGA器件结构出发以速度路径延时大小和面积资源占用率为主题描述在FPGA设计过程中应当注意的问题和可以采用的设计技巧本文对读者的技能基本要求是熟悉数字电路基本知识如加法器计数器RAM等熟悉基本的同步电路设计方法熟悉HDL语言对FPGA的结构有所了解对FPGA设计流程比较了解

    标签: Xilinx FPGA 华为 高级技巧

    上传时间: 2015-01-02

    上传用户:refent

  • Xilinx FPGA全局时钟资源的使用方法

    目前,大型设计一般推荐使用同步时序电路。同步时序电路基于时钟触发沿设计,对时钟的周期、占空比、延时和抖动提出了更高的要求。为了满足同步时序设计的要求,一般在FPGA设计中采用全局时钟资源驱动设计的主时钟,以达到最低的时钟抖动和延迟。 FPGA全局时钟资源一般使用全铜层工艺实现,并设计了专用时钟缓冲与驱动结构,从而使全局时钟到达芯片内部的所有可配置单元(CLB)、I/O单元 (IOB)和选择性块RAM(Block Select RAM)的时延和抖动都为最小。为了适应复杂设计的需要,Xilinx的FPGA中集成的专用时钟资源与数字延迟锁相环(DLL)的数目不断增加,最新的 Virtex II器件最多可以提供16个全局时钟输入端口和8个数字时钟管理模块(DCM)。与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG、IBUFGDS、BUFG、BUFGP、BUFGCE、 BUFGMUX、BUFGDLL和DCM等,如图1所示。  

    标签: Xilinx FPGA 全局时钟资源

    上传时间: 2013-11-20

    上传用户:563686540

  • 采用FPGA的多路高压IGBT驱动触发器研制

    为有效控制固态功率调制设备,提高系统的可调性和稳定性,介绍了一种基于现场可编程门阵列( FPGA)和微控制器(MCU) 的多路高压IGBT 驱动触发器的设计方法和实现电路。该触发器可选择内或外触发信号,可遥控或本控,能产生多路频率、宽度和延时独立可调的脉冲信号,信号的输入输出和传输都使用光纤。将该触发器用于高压IGBT(3300 V/ 800 A) 感应叠加脉冲发生器中进行实验测试,给出了实验波形。结果表明,该多路高压IGBT驱动触发器输出脉冲信号达到了较高的调整精度,频宽’脉宽及延时可分别以步进1 Hz、0. 1μs、0. 1μs 进行调整,满足了脉冲发生器的要求,提高了脉冲功率调制系统的性能。

    标签: FPGA IGBT 多路 驱动

    上传时间: 2013-10-17

    上传用户:123456wh

  • 复杂系统的监视和排序满足方案

    MAX6870六电压排序器/监视器为简化复杂设计提供了一个完全集成的方案。该款EEPROM配置器件在设置门限、输出结构和延时方面具有极大的灵活性。在大多数电子设备中,对系统电压进行监视是非常重要的,这样可保证处理器和其它IC在系统上电时被复位,还可以监测到电压的下降,从而把代码执行过程中出现问题的概率降到最小,避免存储器发生冲突或者系统工作不正常。在高端产品中,系统中各电源的上电顺序也很关键。

    标签: 复杂系统 监视 排序 方案

    上传时间: 2013-11-25

    上传用户:ywqaxiwang