虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

宽带交换

  • 高精度智能测时仪的设计

    区截装置测速法是现代靶场中弹丸测速的普遍方法,测时仪作为区截装置测速系统的主要组成部分,其性能直接影响弹丸测速的可靠性和精度。本文根据测时仪的发展现状,按照设计要求,设计了一种基于单片机和FPGA的高精度智能测时仪,系统工作稳定、操作方便、测时精度可达25ns。 本文详细给出了系统的设计方案。该方案提出了一种在后端用单片机处理干扰信号的新方法,简化了系统硬件电路的设计,提高了测时精度;提出了一种基于系统基准时间的测时方案,相对于传统的测时方法,该方案为分析试验过程提供了有效数据,进一步提高了系统工作的可靠性;给出了一种输入信息处理的有效方法,保证了系统工作的稳定性。 本文设计了系统FPGA逻辑电路,包括输入信号的整形滤波、输入信号的捕捉、时基模块、异步时钟域间数据传递、与单片机通信、单片机I/O总线扩展等;实现了系统单片机程序,包括单片机和。FPGA的数据交换、干扰信号排除和弹丸测速测频算法的实现、LCD液晶菜单的设计和打印机的控制、FLASH的读写、上电后对FPGA的配置、与上位机的通信等;分析了系统的误差因素,给出了系统的误差和相对误差的计算公式;通过实验室模拟测试以及靶场现场测试,结果表明系统工作可靠、精度满足设计要求、人机界面友好。

    标签: 高精度 仪的设计

    上传时间: 2013-07-25

    上传用户:pwcsoft

  • 基于可重配置的OFDM基带系统的FPGA设计

    1992年5月,JoeMitola首次明确提出了软件无线电的概念。软件无线电将模块化、标准化的硬件单元连接构成硬件平台,通过软件加载实现各种无线通信功能。端到端重配置技术是在软件无线电的基础上发展起来的,该技术使通信系统不仅具有重配置的能力,还能提供一体化的重配置管理架构,实现联合无线资源管理和网络规划。端到端重配置技术已经成为软件无线电的发展趋势。 宽带无线接入(BWA,BroadbandWirelessAccess)是当前通信界研究的热点之一,而WiMax和WiFi是BWA中最热门的两个技术,所以本文选择了IEEE802.16-2004与IEEE802.11a,设计了基于其物理层标准的可重配置OFDM基带系统。它们均采用正交频分复用技术(OFDM,OrthogonalFrequencyDivisionMultiplexing)。 本文研究了IEEE802.16-2004与IEEE802.11a物理层标准,结合Altera公司提供的FPGA开发工具QuartusⅡ、Mentor公司仿真工具ModelsimSE6.0,完成了基于IEEE802.16-2004及IEEE802.11a的可重配置OFDM基带系统的FPGA设计。该设计中,对FPGA进行重新配置,实现了802.16-2004与802.11a两种技术的完全重配置;通过选择不同的参数来调用不同子模块,实现802.16-2004与802.11a内部不同调制技术的局部重配置。该可重配置基带系统核心的FFT/IFFT。模块采用基4按频率抽取及Cordic算法,消除乘法运算,有利于FPGA实现;在802.16-2004系统中,选取了基于前导序列的符号同步算法,在FPGA中实现。最后使用开发软件、综合软件以及仿真软件分析了系统的性能并给出了系统的性能指标。

    标签: OFDM FPGA 可重配置 基带系统

    上传时间: 2013-05-19

    上传用户:branblackson

  • 认知无线电频谱感知功能的FPGA实现

    本文主要研究了认知无线电频谱感知功能的关键技术以及硬件实现方法。首先,提出了认知无线电频谱感知功能的硬件实现框图,包括射频前端部分和数字信号处理部分,接着简单介绍了射频前端电路的功能与特性,最后重点介绍了数字信号处理部分的FPGA实现与验证过程。 数字处理部分主要实现宽带信号的短时傅立叶分析,将中频宽带数字信号通过基于多相滤波器组的下变频模块,实现并行多通道的数字下变频,然后对每个信道进行重叠加窗处理,最后再做快速傅立叶分析(FFT),从而得到信号的时频关系。整个系统主要包括:延时抽取模块、多相滤波器模块、32点开关式流水线FFT模块、滑动窗缓冲区、256点流水线FFT模块等。 本设计采用Verilog HDL硬件描述语言进行设计,基于Xilinx公司的Virtex-4XC4VSX35芯片。整个系统采用全同步设计,可稳定工作于200MHz,其分析带宽高达65MHz,具有很高的使用价值。

    标签: FPGA 认知无线电 感知功能 频谱

    上传时间: 2013-06-13

    上传用户:bcjtao

  • 智能电能表系列标准

    国电智能电能表系列标准,第一分册智能电能表系列标准。涉及智能电能表功能规范、单相和三相智能电能表型式规范、0.2S及0.5S级三相智能电能表技术规范、0.5S及1级三相费控智能电能表(无线)技术规范、1级三相费控智能电能表(载波)技术规范、1级三相智能电能表技术规范、单相智能电能表技术规范、智能电能表信息交换安全认证技术规范。

    标签: 智能电能表 标准

    上传时间: 2013-07-05

    上传用户:tyg88888

  • 基于FPGA的遗传算法的硬件实现

    遗传算法是一种基于自然选择原理的优化算法,在很多领域有着广泛的应用。但是,遗传算法使用计算机软件实现时,会随着问题复杂度和求解精度要求的提高,产生很大的计算延时,这种计算的延时限制了遗传算法在很多实时性要求较高场合的应用。为了提升运行速度,可以使用FPGA作为硬件平台,设计数字系统完成遗传算法。和软件实现相比,硬件实现尽管在实时性和并行性方面具有很大优势,但同时会导致系统的灵活性不足、通用性不强。本文针对上述矛盾,使用基于功能的模块化思想,将基于FPGA的遗传算法硬件平台划分成两类模块:系统功能模块和算子功能模块。针对不同问题,可以在保持系统功能模块不变的前提下,选择不同的遗传算子功能模块完成所需要的优化运算。本文基于Xilinx公司的Virtex5系列FPGA平台,使用VerilogHDL语言实现了伪随机数发生模块、随机数接口模块、存储器接口/控制模块和系统控制模块等系统功能模块,以及基本位交叉算子模块、PMX交叉算子模块、基本位变异算子模块、交换变异算子模块和逆转变异算子模块等遗传算法功能模块,构建了系统功能构架和遗传算子库。该设计方法不仅使遗传算法平台在解决问题时具有更高的灵活性和通用性,而且维持了系统架构的稳定。本文设计了多峰值、不连续、不可导函数的极值问题和16座城市的旅行商问题 (TSP)对遗传算法硬件平台进行了测试。根据测试结果,该硬件平台表现良好,所求取的最优解误差均在1%以内。相对于软件实现,该系统在求解一些复杂问题时,速度可以提高2个数量级。最后,本文使用FPGA实现了粗粒度并行遗传算法模型,并用于 TSP问题的求解。将硬件平台的运行速度在上述基础上提高了近1倍,取得了显著的效果。关键词:遗传算法,硬件实现,并行设计,FPGA,TSP

    标签: FPGA 算法 硬件实现

    上传时间: 2013-06-15

    上传用户:hakim

  • FPGA布局算法研究和软件实现

    FPGA布局算法和软件位于工艺映射和布线之间,是一个承上启下的阶段,对最终的布通率和时序都有着重要的影响。 本论文的工作之一便是研究旨在提高布通率的布局算法。在研究了国内外装箱和布局算法的基础上,本文提出了一种新的结合了装箱的布局算法框架,并称之为"低温交替改善的"布局算法。其基本思想是,在模拟退火的低温阶段交替的优化装箱和布局。本文给了基于学术界标准布局布线软件VPR的一个软件实现,并且提出了低温的判定条件以及一种新的选择待交换逻辑单元的方法。采用三种不同的装箱算法作为布局输入,基于VPR的低温交替改善的布局算法实现,在布通率上,比VPR分别提高了21.3%、15.5%、10.7%。而带来的平均额外时间开销不到20%。 FPGA布局软件实现对整个FPGA CAD流程的运行效率,算法的可扩展性也有着不可忽视的影响。现代FPGA有着多样而复杂的逻辑和布线资源。而学术界的布局软件'VPR所面向的FPGA却只能处理十分简单的FPGA结构,对于宏、总线、多时钟等实际应用中很重要的部分都没有考虑。本文提出了"逻辑单元层"的概念,用具有特定几何结构的逻辑单元层来统一处理多种类型的逻辑资源。针对相对位置约束在现代FPGA布局软件中的重要地位,我们提出了一种处理相对位置约束的方法。这些讨论均已经在面向Xilinx SpartanⅡ芯片布局的原型系统中得到了实现,初步证实了这些方法的可扩展性和实用性。

    标签: FPGA 布局 算法研究 软件实现

    上传时间: 2013-06-21

    上传用户:ezgame

  • FPGA结构和布局布线算法研究

    论文设计了一种FPGA结构描述方法,解决了FPGA建模问题。FPGA结构描述方法包含逻辑单元信息,互连线信息等10部分。当采用不同的FPGA芯片进行布局布线时,只需要使用结构描述方法重新定义这种FPGA芯片的结构,不需要改变布局布线工具。 为了配合FPGA编程下载,论文改进了划分网表算法,能够生成LUT配置信息文件。改进了布局布线算法,能够支持更多的商用FPGA结构特征,开发的布局布线工具在可布通性上和VPR接近,布局阶段能够减少21%的逻辑单元交换次数,它在布局布线之后生成内部连接信息,布局信息和布线信息。这些信息提供给布局布线的下一阶段编程下载必要的支持,可以生成位流文件下载到FPGA中。

    标签: FPGA 布局布线 算法研究

    上传时间: 2013-07-29

    上传用户:气温达上千万的

  • 宽带数字信道化侦察接收机

    数字信道化接收机具有监视频段宽、灵敏度高、动态范围大和能够处理多个同时到达信号等优点,是当今雷达侦察接收机的主要研究方向。在数字信道化侦察接收系统中,从输出中频信号到变换至基带信号的信号预处理部分主要有两...

    标签: 宽带 侦察接收机 数字信道化

    上传时间: 2013-06-16

    上传用户:碉堡1234

  • 基于FPGA的H264视频解码器的研究

    近年来,随着宽带网络的普及和多媒体技术的发展,视频压缩编码技术成为人们研究的热点。由于编解码算法复杂度的提高,尤其是本文研究的H.264/AVC视频标准,需要处理的数据量很大,用一般的软件来实现会比较慢,而ASIC芯片价格...

    标签: FPGA H264 视频解码器

    上传时间: 2013-04-24

    上传用户:chitu38

  • 基于FPGA的OFDM基带系统研究

    近几年来,OFDM技术引起了人们的广泛注意,根据这项新技术,很多相关协议被提出来。其中WiMax代表空中接口满足IEEE802.16标准的宽带无线通信系统,IEEE标准在2004年定义了空中接口的物理层(PHY),即802.16d协议。该协议规定数据传输采用突发模式,调制方式采用OFDM技术,传输速率较高且实现方便、成本低廉,已经成为首先推广应用的商业化标准。本文对IEEE802.16d OFDM系统物理层进行了研究,并在XILINX公司的Virtexpro II芯片上实现了基带算法。    ⑴探讨了OFDM基本原理及其关键技术。根据IEEE802.16d OFDM系统的物理层发送端流程搭建了基带仿真链路,利用MATLAB/SIMULINK仿真了OFDM系统在有无循环前缀(CP)、多径数目不同等情况下的性能变化。由于同步算法和信道估计算法计算量都很大,为了找到适合采用FPGA实现的算法,分析了同步误差和不同信道估计算法对接收信号的影响,并结合计算量的大小提出了一种新的联合同步算法,以及得出了LS信道估计算法最适合802.16d系统的结论。    ⑵完成了基带发射机和接收机的FPGA硬件电路实现。为了使系统的时钟频率更高,采用了流水线的结构。设计中采用编写Verilog程序和使用IP核相结合的办法,实现了新的联合同步算法,并且通过简化结构,避免了信道估计算法中的繁琐除法。利用ISE9.2i和Modelsim6.Oc软件平台对程序进行设计、综合和仿真,并将仿真结果和MATLAB软件计算结果相对比。结果表明,采用16位数据总线可达到理想的精度。    ⑶采用串口通信的方式对基带系统进行了验证。通过串口通信从功能上表明该系统确实可行。

    标签: FPGA OFDM 基带 系统研究

    上传时间: 2013-04-24

    上传用户:zhangyigenius