虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

宽带交换

  • 相关协议的FPGA和网络处理器上的实现

    由于集成电路产业在中国的飞速发展,FPGA设计技术,作为一种灵活性很强的芯片设计技术,在国内得到广泛的应用.由于芯片的可升级性和开发自主知识产权芯片的必要性,在北京邮电大学宽带通信网络实验室开发的三层以太网交换机项目中,以太网口和ATM口之间的数据通道的实现上采用了FPGA设计方法.该文主要集中在ATM口之间的数据通道的HEC头校验的FPGA实现.并完成了硬件设计、配置、硬件测试联调工作以及论文撰写工作.硬件的设计和开发基于Protel99和Tornado/VxWorks,软件的设计和开发采用了标准的VHDL语言,开发环境是WINDOWS,开发工具是Xilinx公司的iSE4.1i集成开发环境.随着网络设备的发展,位于网络边缘的设备将会变得更加灵巧,更加迎合网络发展的需要,在网络设备上越来越多地引入了网络处理器.我们实验室和Intel建立了联合实验室,在此基础上,我们要把网络处理器评估板硬件上,运行软件,使其成为路由器,首先要加载的就是网络路由协议.由于Linux的开放源代码,所以我们决定采用Linux做嵌入式系统,在上面运行zebra的路由协议.Zebra是linux上面的开放源代码的路由软件.

    标签: FPGA 协议 网络处理器

    上传时间: 2013-07-08

    上传用户:yhm_all

  • 用SignalTapII逻辑分析仪调试FPGA

     SignalTap II 内嵌逻辑分析仪是Altera 公司Quartus II 软件中内嵌的一种调试程序,通过把一段执行逻辑分析功能 的代码和客户的设计组合在一起编译、布局布线,完成传统逻辑分析仪的功能。介绍了SignalTap II 的基本内容、实现原理以及 在实际工程中的应用环境。结合ATM交换矩阵的设计实例,详细阐述了用SignalTapII 对FPGA 调试的具体方法和调试步骤, 以及在工程中的使用全过程。分析比较了该方法与传统的外置式逻辑分析仪的优劣,对SignalTap II 应用条件进行了阐述。

    标签: SignalTapII FPGA 逻辑分析仪 调试

    上传时间: 2013-07-13

    上传用户:古谷仁美

  • OFDM系统帧检测及同步算法FPGA设计与实现

    正交频分复用(OFDM)技术是一种多载波数字调制技术,它具有频谱利用率高、抗多径能力强等特点,在宽带无线多媒体通信领域中受到了广泛的关注。 OFDM系统可分为连续工作模式和突发工作模式。在IEEE802.11a、HiperLANType2等无线局域网标准中采用了OFDM的突发工作模式,该模式下的接收机首先对符合某种特定格式的帧做出检测。本文介绍了一种基于最小错误概率准则的帧检测算法,提出了该算法的FPGA实现方案。 同步技术是OFDM最关键的技术之一,它包括载波频率同步和符号同步。载波频率同步是为了纠正接收端相对于发送端的载波频率偏移,以保证子载波间的正交性;符号同步确定OFDM符号有用数据信息的开始时刻,也就是确定FFT窗的开始时刻。本文首先介绍了一种基于自相关的载波频率同步算法,给出了它的FPGA实现方案,重点讲述了其中用到的Cordic算法及其实现;然后介绍了分别基于互相关和自相关的两种符号同步算法,给出了各自的FPGA实现方案,从实现的角度比较了两种算法的优缺点,并且在FPGA设计中体现了面积复用和流水线操作的设计思想。 文章最后介绍了系统调试的情况,总结出一种ChipScopePro与Matlab相结合的调试方法,该方法在FPGA调试方面具有一定的通用性。

    标签: OFDM FPGA

    上传时间: 2013-07-16

    上传用户:Killerboo

  • 利用Cadence ALlegro进行PCB级的信号完整性仿真

    随着信息宽带化和高速化的发展,以前的低速PCB已完全不能满足日益增长信息化发展的需要,而高速PCB的出现将对硬件人员提出更高的要求,仅仅依靠自

    标签: Cadence ALlegro PCB 信号完整性

    上传时间: 2013-05-22

    上传用户:julin2009

  • 嵌入式调试系统的研究与实现

    近年来,随着计算机、微电子、通信及网络技术、信息技术的发展、数字化产品的普及,嵌入式系统渗透到了各个领域,已经成为计算机领域的一个重要组成部分,成为新兴的研究热点,嵌入式软件也在整个软件产业中占据了重要地位。一个好的调试工具对软件产品质量和开发周期的促进作用是不言而喻的,使得嵌入式调试工具成为了人们关注的重点。目前使用集成开发环境配合JTAG调试器进行开发是目前采用最多的一种嵌入式软件开发调试方式。国内在JTAG调试器开发领域中相对落后,普遍采用的是国外的工具产品。因此开发功能强大的嵌入式调试系统具有重要的实际意义。 当前嵌入式系统中尤其流行和值得关注的是ARM系列的嵌入式处理器。为此本课题的目标就是设计并实现一个应用于ARM平台的JTAG调试系统。GDB是一个源码开放的功能强大的调试器,可以调试各种程序,包括 C、C++、JAvA、PASCAL、FORAN和一些其它的语言,还包括GNU所支持的所有微处理器的汇编语言。此外GDB同目标板交换信息的能力相当强,胜过绝大多数的商业调试内核,因此使用GDB不仅能够保证强大的调试功能,同时可以降低调试系统的开发成本。为此本课题在对边界扫描协议、ARM7TDMI片上仿真器Embedded-ICE和GDB远程调试协议RSP做了深入研究的基础上,实现了GDB调试器对嵌入式JTAG调试的支持。此外设计中还把可重够计算技术引入到硬件JTAG协议转换器的开发设计中,使调试器硬件资源可复用、易于升级,并大大提高了数据的传输速度。从而实现了一个低成本的、高效的、支持源代码级调试的JTAG调试系统。

    标签: 嵌入式 调试系统

    上传时间: 2013-08-04

    上传用户:huangld

  • 基于FPGA的FFT处理器的实现

    现场可编程门阵列(FPGA)是近年来迅速发展起来的新型可编程器件。随着它的不断应用和发展,也使电子设计的规模和集成度不断提高。同时也带来了电子系统设计方法和设计思想的不断推陈出新。 随着数字电子技术的发展,数字信号处理的理论和技术广泛的应用于通讯、语音处理、计算机和多媒体等领域。快速傅里叶变换(FFT)作为数字信号处理的核心技术之一,是离散傅里叶变换的运算时间缩短了几个数量级。FFT已经成为现代信号处理的重要理论之一。 该文的目的就是研究如何应用FPGA实现FFT算法,研制具有自己知识产权的FFT信号处理器具有重要的理论意义和实用意义。 设计采用基4算法设计了一个具有实用价值的FFT实时硬件处理器。其中使用了改进的CORDIC流水线结构设计了FFT的蝶型运算单元,将硬件不易于实现、运算缓慢的乘法单元转换成硬件易于实现、运算快捷的加法单元。并根据基4算法的寻址特点设计了简单快速的地址发生器。整体采用流水线的工作方式,并将双端口RAM、只读ROM全部内置在FPGA芯片内部,使整个系统的数据交换和处理速度得以提高。 整个设计利用ALTERA公司提供的QUARTUSⅡ4.0开发软件,采用先进的层次化设计思想,使用一片FPGA芯片完成了整个FFT处理器的电路设计。整体设计经过时序仿真和硬件仿真,运行速度达到100MHz以上。

    标签: FPGA FFT 处理器

    上传时间: 2013-07-01

    上传用户:FFAN

  • 基于FPGA的FFT数字处理器的硬件实现

    DFT(Discrete Fourier Transformation)是数字信号分析与处理如图形、语音及图像等领域的重要变换工具,直接计算DFT的计算量与变换区间长度N的平方成正比.当N较大时,因计算量太大,直接用DFT算法进行谱分析和喜好的实时处理是不切实际的.快速傅里叶变换(Fast Fourier Transformation,简称FFT)使DFT运算效率提高1~2个数量级.本文的目的就是研究如何应用FPGA这种大规模可编程逻辑器件实现FFT的算法.本设计主要采用先进的基-4DIT算法研制一个具有实用价值的FFT实时硬件处理器.在FFT实时硬件处理器的设计实现过程中,利用递归结构以及成组浮点制运算方式,解决了蝶形计算、数据传输和存储操作协调一致问题.合理地解决了位增长问题.同时,采用并行高密度乘法器和流水线(pipeline)工作方式,并将双端口RAM、只读ROM全部内置在FPGA芯片内部,使整个系统的数据交换和处理速度得以很大提高,实际合理地解决了资源和速度之间相互制约的问题.本设计采用Verilog HDL硬件描述语言进行设计,由于在设计中采用Xilinx公司提供的称为Core的IP功能块极大地提高了设计效率.

    标签: FPGA FFT 数字处理器 硬件实现

    上传时间: 2013-06-20

    上传用户:小码农lz

  • 基于FPGA的多路码分复用通信系统实现

    第三代移动通信系统及技术是目前通信领域的研究热点。本系统采用了第三代移动通信系统的部分关键技术,采用直接序列扩频方式实现多路宽带信号的码分复用传输。在系统设计中,我们综合考虑了系统性能要求,功能实现复杂度与系统资源利用率,选择了并行导频体制、串行滑动相关捕获方式、延迟锁相环跟踪机制、导频信道估计方案和相干解扩方式,并在Quartus软件平台上采用VHDL语言,在FPGA芯片CycloneEP1C12Q240C8上完成了系统设计。通过对硬件测试板的测试表明文中介绍的方案和设计方法是可行和有效的。并在测试的基础上对系统提出了改进意见。

    标签: FPGA 多路 通信系统

    上传时间: 2013-06-27

    上传用户:fzy309228829

  • WiMAX接收机中AGC的算法研究和FPGA实现

    用户对宽带无线接入业务、尤其是对于宽带无线化以及移动化的需求日益增加,使无线宽带接入技术WiMAX(World interoperability for Microwave Access,即全球微波接入互操作性技术)应运而生、迅猛发展,成为这两年业界关注的焦点。除了通常的互联网接入应用外,它还将在提供IPTV和VOIP等宽带业务方面取得成功,它还有可能成为一种先进的4G蜂窝电话技术。WiMAX未来将进入蜂窝电话、笔记本电脑和机顶盒等应用中。 本文在介绍WiMAX传输标准802.16d基础上,详细阐述了WiMAX接收机中信道解调芯片中的自动增益控制(Automatic Gain Control,AGC)部分。首先介绍了自动增益控制系统的基本组成和其主要特性指标,通过对一个步进式AGC的分析,得到AGC模型的输出公式。然后针对WiMAX接收机内AGC系统中的模数转换器以及AGC电路进行介绍和理论分析。本文采用SPW(Signal Processing WorkSystem)模型对AGC电路基本结构的算法分析,并结合仿真结果对AGC电路做了详尽解说并对参数进行了解释说明。 最后给出了基于SPW和FPGA(Field Programmable Gate Array)验证的结果。通过SPW对AGC进行了单独的性能测试,并结合整个系统的性能测试来说明AGC可以和系统的其他模块协同工作。在FPGA测试中,可以证明用Verilog实现后AGC也同样能较好的工作。 本文实现的基于导频的步进式的数字AGC是针对WiMAX系统的自动增益控制电路提出的解决方案。此算法结合WiMAX系统的传输方式,提出的算法具有迅速锁定信号的特点,能够满足WiMAX系统的要求。同时,由于各种关键参数设计为寄存器可配的方式,具有很好的灵活性,也就具有了更高的移植性,可以作为一种通用的数字AGC算法。

    标签: WiMAX FPGA AGC 接收

    上传时间: 2013-04-24

    上传用户:zhanditian

  • 基于FPGA的雷达信号数字接收机的实现

    在雷达信号侦察中运用宽带数字接收技术是电子侦察的一个重要发展方向。数字信号处理由于其精度高、灵活性强、以及易于集成等特点而应用广泛。电子系统数字化的最大障碍是宽带高速A/D变换器的高速数据流与通用DSP处理能力的不匹配。而FPGA的广泛应用,为解决上述矛盾提供了一种有效的方法。 本文利用FPGA技术,设计了具备高速信号处理能力的宽带数字接收机平台,并提出了数字接收机实现的可行性方法,以及对这些方法的验证。具体来说就是如何利用单片的FPGA实现对雷达信号并行地实时检测和参数估计。所做工作主要分为两大部分: 1、适合于FPGA硬件实现的算法的确定及仿真:对A/D采样信号采用自相关累加算法进行信号检测,利用信号的相关性和噪声的独立性提高信噪比,通过给出检测门限来估计信号的起止点。对于常规信号的频率估计,采用Rife算法。通过Matlab仿真,表明上述算法在运算量和精度方面均有良好性能,适合用作FPGA硬件实现。 2、算法的FPGA硬件实现:针对原算法中极大消耗运算量的相关运算,考虑到FPGA并行处理的特点,将原算法修改为并行相关算法,并加入流水线,这样处理极大地提高了系统的数据吞吐率。采用Xilinx公司的Virtex-4系列中的XC4VSX55芯片作为开发平台完成设计,系统测试结果表明,本设计能正常工作,满足系统设计要求。 文章的最后,结合系统设计给出几种VHDL优化方法,主要围绕系统的速度、结构和面积等问题展开讨论。

    标签: FPGA 雷达信号 数字接收机

    上传时间: 2013-06-25

    上传用户:songnanhua