虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

多核设计

  • Altium Designer 21.9.2 中文版,AD21软件安装包2021版

    Altium Designer2021是一款非常专业的一体化电路设计软件。软件为工程师提供了简单易用的PCB设计及原理图捕获的集成方法,该版本中加入了无限的机械层、支持印刷电子以及支持HID设计等多种功能,为用户提供了更加全面的设计解决方案,大幅度提高工作效率。Altium Designer软件功能  实时线路纠正  Altium Designer 的布线引擎在布线过程中会主动防止产生锐角、以及避免创建不必要的环路。  优化差分对走线  无论您是走线到焊盘,还是从焊盘走线,或是仅在电路板上的障碍物周围绕线,Altium Designer都能够确保您的差分对走线有效耦合在一起。  优化走线  布线后期的修线功能可以在遵循用户的设计规则的同时,保持走线的专业完整性。Altium Designer  元器件搜索面板  通过对全球供应商的零件进行参数搜索,直接放置和移植满足设计、可用性和成本要求的电子零件。  支持印刷电子技术  Altium Designer对印刷电子叠层设计的支持为设计人员提供了具有明显优势的新选项。  支持HDI设计  支持微孔技术,能够加速用户的HDI设计。  多板设计系统的对象智能匹配  解决多板设计这一挑战,确保外壳中多个板子之间有序排列和配合。  多板设计系统支持软硬结合设计  使用软硬结合板和单板设计创建多板装配件。Altium Designer  用于设计导出和几何计算的3D内核  逻辑上将多个PCB设计项目结合到一个物理装配件系统中,确保多个板子的排列、功能都正常,以及板子间相互配合不会发生冲突。  焊盘、过孔的热连接  即时更改焊盘和过孔的热连接方式。  Draftsman  Draftsman的改进功能使您可以更轻松地创建PCB的制造和装配图纸。  无限的机械层  没有层数限制,完全按照您的需要组织您的设计。  叠层材料库  探索Altium Designer如何轻松定义层堆栈中的材料Altium Designer  布线跟随模式  了解如何通过电路板的轮廓跟随功能在刚性和柔性设计中轻松布线。  元器件回溯  移动电路板上的元器件,而不必对它们重新布线。  高级的层堆栈管理器  层堆栈管理器已经被完全更新和重新设计,包括阻抗计算、材料库等。  叠层阻抗分布管理器  管理带状线、微带线、单根导线或差分对的多个阻抗分布。

    标签: Altium Designer软件下载

    上传时间: 2022-07-22

    上传用户:canderile

  • CYCLONE IV 兼容黑金开发板AC4075

    DDR3等长处理,LVDS差分线等长处理,完整的地平面,足够的电源去耦电容,核心板尺寸6CMx6CM!FPGA型号:EP4CE75F23C8DDR2:两片DDR2 2GBitsFLASH:64MBits预留IO:168个单端IOLVDS:可以配置为支持该协议USB接口:可以直接和主机进行USB数据通讯NIOS处理器:可以通过软核设计支持运行LINUX 操作系统,或者运行NIOS SDKALONE程序

    标签: cyclone iv 兼容 黑金 开发板 ac4075

    上传时间: 2022-07-25

    上传用户:ddk

  • VIP专区-PCB源码精选合集系列(4)

    VIP专区-PCB源码精选合集系列(4)资源包含以下内容:1. PCB超级计算器.2. Altium designer库大全.3. Cadence16.5入门视频教程.4. ddb2pcb(Protel转PowerPCB工具).5. Protel99Se增强工具v4.0.6. protel99se元件库.7. 精密电阻阻值表.8. protel99se鼠标增强软件.9. 51单片机开发板原理图.10. PCB布线.11. protues7.5安装教程.12. PCB常见错误大全.13. DesignSpark PCB 设计工具.14. LPC1788开发板原理图.15. altium designer高级PCB设计技巧.16. Altium Designer 多通道设计.17. Protel99se鼠标插件.18. 基于贴片天线的NRF24L01pcb工程.19. stm32最小系统.20. altium designer 原理图库(部分).21. Protel99se教程..22. 80C196KC元件库.23. 常用Protel2004元件库.24. protel封装库.25. mm与mil转换器.26. PCB布线经验全篇.27. AD公司最全封装库.28. MSP430元件库.29. RS485光藕隔离电路.30. cc2530封装库.31. Altium-Designer-6.9_a·_____.32. at89c51 at89s51系列元件库.33. 抄板.34. STM32F103各种形式封装.35. Altiumdesigner元件库大全.36. 我的AD10库.37. AltiumDesignerSummer9Build9.3.1.19182破解文件.38. 于博士cadence视频配套工程文件.39. Cadence_Allegro_SPB_16.3完美破解.40. PCB设计元件库.

    标签: 数字信号处理

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-PCB源码精选合集系列(9)

    VIP专区-PCB源码精选合集系列(9)资源包含以下内容:1. Altium.Designer winter 09技巧.2. 3C认证中的PCB设计.3. Altium+Designer+原理图和PCB多通道设计方法介绍.4. 电路原理图与PCB设计基础.5. PADS教程完全版.6. 走线宽度电流关系对照表.7. 印制电路板(PCB)设计与制作(第2版).8. PCB布线的直角走线、差分走线和蛇形线基础理论.9. altium designer Protel DOS Schematic Libraries.10. PCB设计制造常见问题.11. AD10以上版本的PCB Logo Creator.12. pcb布线之超级攻略.13. 表面贴片技术指南_值得学习研究.14. Altium_Designer_winter_09电路设计案例教程-Altium概述.15. PCB抄板之Protel 99SE铺铜问题总结.16. PCB焊盘设计标准.17. 办公设备多纸张检测电路PCB源文件.18. Ultiboard7应用举例.19. PCB布线后检查有错误的处理方法.20. 资深工程师PCB设计经验总结.21. ultiboard PCB development.22. PCB概述与布线技巧.23. Pads_layout中的一些操作问题.24. 多层PCB设计经验.25. PCB设计基础教程.26. protel制版应注意的问题.27. 飞思卡尔的PCB布局布线应用笔记,很值得学习的.28. 射频电路PCB设计.29. Protel99se常见网络表装入错误.30. Cadence16.2完全学习手册.31. PCB线宽过孔与电流关系.32. 【PPT】Workflows印刷工作流程.33. Cadence.OrCad.v16.3-安装破解.34. Pspice简明教程.35. 【PPT】Board(印刷电路板)的缩写.36. Cadence_Allegro教程.37. 华硕内部的PCB设计规范.38. 【PPT】数码短板印刷方案介绍(清华紫光).39. Cadence_SPB16.2入门教程——焊盘制作.40. PCB基本走线规则.

    标签: 通信原理 电路 高频电子

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(110)

    VIP专区-嵌入式/单片机编程源码精选合集系列(110)资源包含以下内容:1. 12864液晶模块的MSP430F149的源代码.2. 本文档详细介绍了从C语言过渡到嵌入式C语言的入门过程.3. qt3 Embedded mplayer in a QWidget.4. 欧姆龙PLC程序.5. 分布式嵌入式系统若干可重构问题的研究 可重构研究方面的好东西.6. 这是一篇关于C/C++编程规范的说明文档.7. 利用LABVIEW控制步进电机。对软件编程.8. 接口电路可靠性设计。接口电路设计难得的一份参考资料.9. 这是JFrame的一些例子(chapter4)。可以参考一下。想要做GUI的人不妨看一看.10. 在PXA270上替换开发板的实时时钟RTC4513为DS1302的修改说明,自己写的,拿上来和大家共享,也希望大家提意见.11. 简单matlab界面设计的ppt的简单介绍.12. matlab界面设计的实用手册.13. Matlab入门教程GUI程序设计,好东西大家分享!.14. 电容感应程序.15. RSLogix500培训教材,指导如何使用安装ABPLC.16. 基于FPGA自适应高速RS编译码器的IP核设计.17. 基于芯片DS1302的湍流实时时钟的实现.18. liunx平台的pci程序开发,希望对大家有帮助.19. 文章介绍了TMS320VC5409 的在语音处理方面的一个应用电路.20. 学习嵌入式必须的东西.21. h.264的码流结构.22. 8255控制交通灯全资料.23. TFT彩色液晶屏的SPI接口的驱动程序.24. PCA的理论的介绍。了解PCA很有帮助。.25. S3C44B0X 外部中断的开发应用.26. 读取SD卡存储的adpcm码.27. 电子报时钟源码,实现报时的功能!声音文件可以自行替换.28. 基于AVR单片机的步进电机的控制.29. BlackFin 5xx 处理器音频接口扩展电路图.30. Nuclues嵌入式RTOS源码.31. 根据蒙特卡罗分析原理.32. 自编的某项目中的基于NIOS II的硬盘控制程序.33. 关于在FPGA或CPLD锁相环PLL原理与应用,介绍用FPGA的分频技术..34. 这是电子方面的.35. 实例42 嵌入式电子钟 这是一个界面友好的vc嵌入式电子钟 具有很好的参考价值.36. 老外的PCB设计软件,是免费的.可以上网更新..37. 里面是嵌入式常用模块的电路图.38. 该嵌入式系统教材对从事嵌入式开发的工作人员.39. SST FALSH的读写(SPI模拟总线)(长期运行).40. ICCAVR环境下的PTR4000无线模块驱动程序.

    标签: Bluetooth

    上传时间: 2013-05-26

    上传用户:eeworm

  • ARM、Intel、高通、联发科、中芯国际等大厂芯片资料合集

    Intel多核微处理器技术.doc 649KB2019-10-08 11:29 INTEL系列芯片详细参数.doc 334KB2019-10-08 11:29 ARM常用ARM芯片选型.pdf 364KB2019-10-08 11:29 高通产品基础知识培训资料.docx 1.8M2019-10-08 11:29 联发科SDK资料.pdf 1.8M2019-10-08 11:29 高通芯片最强介绍.pdf 860KB2019-10-08 11:29 中芯国际集成电路制造有限公司市场分析.docx 40KB2019-10-08 11:29 联发科MTK芯片型号资料大全.pdf 704KB2019-10-08 11:29 中芯国际RD及半导体技术发展趋势.ppt 7.8M2019-10-08 11:29 Intel-915与925芯片介绍(中文).doc 611KB2019-10-08 11:29 INTEL经典芯片及主板回顾.doc 45KB2019-10-08 11:29 华为海思芯片.docx 257KB2019-10-08 11:29 ARM嵌入式系统ARM芯片的应用和选型.pdf 349KB2019-10-08 11:29 ARM体系结构详解(我上课时老师的100多页PPT课件).pdf 1.2M2019-10-08 11:29 高通芯片发展规格.pdf 100KB2019-10-08 11:29 ARM芯片与开发板实例解析.ppt

    标签: CAXA 制造 工程师 实体

    上传时间: 2013-04-15

    上传用户:eeworm

  • QUARTUSII WEB EDTITION 10.1 0

    "Quartus II设计软件是Altera提供的完整的多平台设计环境,能够直接满足特定设计需要, 为可编程芯片系统(SOPC)提供全面的设计环境。"

    标签: 连接 螺纹 标准手册

    上传时间: 2013-05-22

    上传用户:eeworm

  • 基于NIOS软核CPU技术的多路电话计费系统的设计与实现

    基于NIOS软核CPU技术的多路电话计费系统的设计与实现

    标签: NIOS CPU 软核 多路

    上传时间: 2016-09-02

    上传用户:大三三

  • 介绍了一种基于软件无线电思想的频分多址中频数字化接收机系统设计方案。它采用Altera公司的FPGA构成核 心单元,通过不同的软件配置实现对三路频分多址信号的解调。

    介绍了一种基于软件无线电思想的频分多址中频数字化接收机系统设计方案。它采用Altera公司的FPGA构成核 心单元,通过不同的软件配置实现对三路频分多址信号的解调。

    标签: Altera FPGA 多址

    上传时间: 2016-10-11

    上传用户:cmc_68289287

  • 局部线性回归方法及其稳健形式已经被看作一种有效的非参数光滑方法.与流行的核回归方法相比,它有诸多优点,诸如:较高的渐近效率和较强的适应设计能力.另外,局部线性回归能适应几乎所有的回归设计情形却不需要任

    局部线性回归方法及其稳健形式已经被看作一种有效的非参数光滑方法.与流行的核回归方法相比,它有诸多优点,诸如:较高的渐近效率和较强的适应设计能力.另外,局部线性回归能适应几乎所有的回归设计情形却不需要任何边界修正。

    标签: 回归 局部 线性 参数

    上传时间: 2013-12-16

    上传用户:sssl