虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

地面电视

  • 基于FPGA实现DVBS信道编码及调制

    DVB-S(Digital Video Broadcasting bv Satellite)调制器是符合DVB-S协议的数字电视前端设备之一,也满足我国数字电视卫星广播标准,该设备可以广泛应用于数字电视卫星业务和相关数字电视业务。本文主要阐述了基于FPGA实现DVB-S调制器的信道编码和调制,按功能对DVB-S信道编码过程进行模块分解、模块接口定义,针对每个模块进行工作原理分析、算法分析、HDL描述、时序仿真及FPGA实现;DVB-S调制器的核心是信道编码和调制部分,利用FPGA在数字信号处理方面的优势,本文重点对其中的几个关键模块,包括RS编码、卷积交织器和卷积穿孔编码等的实现算法进行了比较详细的分析,并通过HDL描述和时序仿真来验证算法正确性;对FPGA各模块的资源进行了估计、利用Altera公司的Cyclone器件的内部锁相环实现ASI信号的接收;最后对整机进行了测试,测试结果表明,本文设计的DVB-S调制器技术指标满足设计要求。

    标签: FPGA DVBS 信道编码 调制

    上传时间: 2013-04-24

    上传用户:gmh1314

  • 屏上显示模块的FPGA实现

    随着数字电视技术的飞速发展,数字机顶盒已成为现在模拟电视收看数字电视节目必不可少的设备。而数字机顶盒需要在解码后的模拟视频信号上加入屏幕显示信息(如亮度、色度、信息服务菜单等)以提供给观众良好的界面和灵活的人机交互。 v屏幕显示系统(OSG,On-Screen-Graphics)解决了现有模拟电视无法实现的叠加屏幕显示信息的问题,提供同步输出叠加有各种图形、文字的电视节目图像的功能,其中最主要的部分是OSD(On-Screen-Display),即屏幕显示单元。OSD将叠加的位图图像分为多个OSD块,一般定义为矩形区域。每个矩形区域,例如台标、参数调节框、字幕等,都有独立的4色、16色或256色颜色查找表。同时OSG系统也支持真彩模式。OSD块经由编码/混合器与视频图像进行alpha混合后输出到电视屏幕上。 本文详细介绍了应用FPGA设计包括屏幕显示单元在内的OSG系统的思路和设计过程,描述了模块的划分与功能仿真。在论文前半部分,本文给出了图文屏幕显示系统各子单元的工作流程,接着论文的后半部分,给出了详细的模块接口说明和硬件实现。

    标签: FPGA 显示模块

    上传时间: 2013-07-27

    上传用户:万有引力

  • 基于FPGA的H264视频编码器设计

    随着多媒体编码技术的发展,视频压缩标准在很多领域都得到了成功应用,如视频会议(H.263)、DVD(MPEG-2)、机顶盒(MPEG-2)等等,而网络带宽的不断提升和高效视频压缩技术的发展使人们逐渐把关注的焦点转移到了宽带网络数字电视(IPTV)、流媒体等基于传输的业务上来。带宽的增加为流式媒体的发展铺平了道路,而高效的视频压缩标准的出台则是流媒体技术发展的关键。H.264/AVC是由国际电信联合会和国际标准化组织共同发展的下一代视频压缩标准之一。新标准中采用了新的视频压缩技术,如多模式帧间预测、1/4像素精度预测、整数DCT变换、变块尺寸运动补偿、基于上下文的二元算术编码(CABAC)、基于上下文的变长编码(CAVLC)等等,这些技术的采用大大提高了视频压缩的效率,更有利于宽带网络数字电视(IPTV)、流媒体等基于传输的业务的实现。 本文主要根据视频会议应用的需要对JM8.6代码进行优化,目标是实现基于Baseline的低复杂度的CIF编码器,并对部分功能模块进行电路设计。在设计方法上采用自顶向下的设计方法,首先对H.264编码器的C代码和算法进行优化,并对优化后的结果进行测试比较,结果显示在图像质量没有明显降低的情况下,H.264编码器编码CIF格式视频每秒达到15帧以上,满足了视频会议应用的实时性要求。然后,以C模型为参考对H.264编码器的部分功能模块电路进行设计。采用Verilog HDL实现了这些模块,并在Quartus Ⅱ中进行了综合、仿真、验证。主要完成了Zig-zag扫描和CAVLC模块的设计,详细说明模块的工作原理和过程,然后进行多组的仿真测试,结果与C模型相应部分的结果一致,证明了设计的正确性。

    标签: FPGA H264 视频编码器

    上传时间: 2013-06-11

    上传用户:kjgkadjg

  • 基于FPGA的HDB3编译码设计

    一般由信源发出的数字基带信号含有丰富的低频分量,甚至直流分量,这些信号往往不宜直接用于传输,易产生码间干扰进而直接影响传输的可靠性,因而要对其进行编码以便传输。传统的井下信号在传输过程中普遍采用曼彻斯特码的编解码方式,而该方式的地面解码电路复杂。FPGA(现场可编程门阵列)作为一种新兴的可编程逻辑器件,具有较高的集成度,能将编解码电路集成在一片芯片上,而HDB3码(三阶高密度双极性码)具有解码规则简单,无直流,低频成份少,可打破长连0和提取同步方便等优点。基于上述情况,本文提出了基于FPGA的}tDB3编译码设计方案。 该研究的总体设计方案包括用MATLAB进行HDB3编译码算法的验证,基于FPGA的HDB3码编译码设计与仿真,结果分析与比较三大部分。为了保证该设计的可靠性,首先是进行编译码的算法验证;其次通过在FPGA的集成设计环境QuartusⅡ软件中完成HDB3码的编译、综合、仿真等步骤,通过下载电缆下载到特定的FPGA芯片上,用逻辑分析仪进行时序仿真;最后将算法验证结果与仿真结果作一对比,分析该研究的可行性与可靠性。 研究表明,基于FPGA的HDB3编译码设计具有体积小,译码简单,编程灵活,集成度高,可靠等优点。

    标签: FPGA HDB3 编译码

    上传时间: 2013-04-24

    上传用户:siguazgb

  • TS流复用器及其接口

    在数字电视系统中,MPEG-2编码复用器是系统传输的核心环节,所有的节目、数据以及各种增值服务都是通过复用打包成传输流传输出去。目前,只有少数公司掌握复用器的核心算法技术,能够采用MPEG-2可变码率统计复用方法提高带宽利用率,保证高质量图像传输。由于目前正处广播电视全面向数字化过渡期间,市场潜力巨大,因此对复用器的研究开发非常重要。本文针对复用器及其接口技术进行研究并设计出成形产品。 文中首先对MPEG-2标准及NIOS Ⅱ软核进行分析。重点研究了复用器中的部分关键技术:PSI信息提取及重构算法、PID映射方法、PCR校正及CRC校验算法,给出了实现方法,并通过了硬件验证。然后对复用器中主要用到的AsI接口和DS3接口进行了分析与研究,给出了设计方法,并通过了硬件验证。 本文的主要工作如下: ●首先对复用器整体功能进行详细分析,并划分软硬件各自需要完成的功能。给出复用器的整体方案以及ASI接口和DS3接口设计方案。 ●在FPGA上采用c语言实现了PSI信息提取与重构算法。 ●给出了实现快速的PID映射方法,并根据FPGA特点给出一种新的PID映射方法,减少了逻辑资源的使用,提高了稳定性。 ●采用Verilog设计了SI信息提取与重构的硬件平台,并用c语言实现了SDT表的提取与重构算法,在FPGA中成功实现了动态分配内存空间。 ●在FPGA上实现了.ASI接口,主要分析了位同步的实现过程,实现了一种新的快速实现字节同步的设计。 ●在FPGA上实现了DS3接口,提出并实现了一种兼容式DS3接口设计。并对帧同步设计进行改进。 ●完成部分PCB版图设计,并进行调试监测。 本复用器设计最大特点是将软件设计和硬件设计进行合理划分,硬件平台及接口采用Verilog语言实现,PSI信息算法主要采用c语言实现。这种软硬件的划分使系统设计更加灵活,且软件设计与硬件设计可同时进行,极大的提高了工作效率。 整个项目设计采用verilog和c两种语言完成,采用Altera公司的FPGA芯片EP1C20,在Quartus和NIOS IDE两种设计平台下设计实现。根据此方案已经开发出两台带有ASI和DS3接口的数字电视TS流复用器,经测试达到了预期的性能和技术指标。

    标签: TS流 复用器 接口

    上传时间: 2013-06-10

    上传用户:01010101

  • 自动增益控制放大器设计(毕业论文)

    自动增益控制电路已广泛用于各种接收机、录音机和信号采集系统中,另外在光纤通信、微波通信、卫星通信等通信系统以及雷达、广播电视系统中也得到了广泛的应用。本课题主要研究应用于音频放大的前级电

    标签: 自动增益控制 放大器设计 毕业论文

    上传时间: 2013-05-21

    上传用户:我们的船长

  • 基于FPGA的数字视频光纤传输系统

    随着计算机技术和通信技术的迅速发展,数字视频在信息社会中发挥着越来越重要的作用,视频传输系统已经被广泛应用于交通管理、工业监控、广播电视、银行、商场等多个领域。同时,FPGA单片规模的不断扩大,在FPGA芯片内部实现复杂的数字信号处理系统也成为现实,因此采用FPGA实现视频压缩和传输已成为一种最佳选择。 本文将视频压缩技术和光纤传输技术相结合,设计了一种基于无损压缩算法的多路数字视频光纤传输系统,系统利用时分复用和无损压缩技术,采用串行数字视频传输的方式,可在一根光纤中同时传输8路以上视频信号。系统在总体设计时,确定了基于FPGA的设计方案,采用ADI公司的AD9280和AD9708芯片实现A/D转换和D/A转换,在FPGA里实现系统的时分复用/解复用、视频数据压缩/解压缩和线路码编解码,利用光收发一体模块实现电光转换和光电转换。视频压缩采用LZW无损压缩算法,用Verilog语言设计了压缩模块和解压缩模块,利用Xilinx公司的IP核生成工具Core Generator生成FIFO来缓存压缩/解压缩单元的输入输出数据,光纤线路码采用CIMT码,设计了编解码模块,解码过程中,利用数字锁相环来实现发射与接收的帧同步,在ISE8.2和Modelsim仿真环境下对FPGA模块进行了功能仿真和时序仿真,并在Spartan-3E开发板和视频扩展板上完成了系统的硬件调试与验证工作,实验证明,系统工作稳定,图像清晰,实时传输效果好,可用于交通、安防、工业监控等多个领域。 本文将视频压缩和线路码编解码在FPGA里实现,利用FPGA的并行处理优势,大大提高了系统的处理速度,使系统具有集成度高、灵活性强、调试方便、抗干扰能力强、易于升级等特点。

    标签: FPGA 数字视频 光纤传输系统

    上传时间: 2013-04-24

    上传用户:gzming

  • 基于FPGA的实时图像融合处理系统

    随着多媒体技术发展,数字图像处理已经成为众多应用系统的核心和基础。图像处理作为一种重要的现代技术,已经广泛应用于军事指挥、大视场展览、跟踪雷达、电视会议、导航等众多领域。因而,实现高分辨率高帧率图像实时处理的技术不仅具有广泛的应用前景,而且对相关领域的发展也具有深远意义。 大视场可视化系统由于屏幕尺寸很大,只有在特制的曲面屏幕上才能使细节得到充分地展现。为了在曲面屏幕上正确的显示图像,需要在投影前实时地对图像进行几何校正和边缘融合。而现场可编程门阵列(FPGA)则是用硬件处理实时图像数据的理想选择,基于FPGA的图像处理技术是世界范围内广泛关注的研究领域。 本课题的主要工作就是设计一个以FPGA为核心的硬件系统,该系统可对高分辨率高刷新率(1024*768@60Hz)的视频图像实时地进行几何校正和边缘融合。 论文首先介绍了图像处理的几何原理,然后提出了基于FPGA的大视场实时图像融合处理系统的设计方案和模块功能划分。系统分为算法与软件设计,硬件电路设计和FPGA逻辑设计三个大的部分。本论文主要负责FPGA的逻辑设计。围绕FPGA的逻辑设计,论文先介绍了系统涉及的关键技术,以及使用Verilog语言进行逻辑设计的基本原则。 论文重点对FPGA内部模块设计进行了详细的阐述。仲裁与控制模块是顶模块的主体部分,主要实现系统状态机和时序控制;参数表模块主要实现SDRAM存储器的控制器接口,用于图像处理时读取参数信息。图像处理模块是整个系统的核心,通过调用FPGA内嵌的XtremeDSP模块,高速地完成对图像数据的乘累加运算。最后论文提出并实现了一种基于PicoBlaze核的12C总线接口用于配置FPGA外围芯片。 经过对寄存器传输级VerilogHDL代码的综合和仿真,结果表明,本文所设计的系统可以应用在大视场可视化系统中完成对高分辨率高帧率图像的实时处理。

    标签: FPGA 实时图像 处理系统

    上传时间: 2013-05-19

    上传用户:恋天使569

  • H.264编码器帧内预测算法的研究

    目前,H.264是图像编码研究领域的一个热点。它在语言结构、预测算法、数据变换等方面做了很大的改进,在低码率传输、高清晰度显示及网络接入等性能上相比以往标准有了显著提高,使得H.264在视频会议、视频点播、数字电视和手...

    标签: 264 编码器 帧内预测 法的研究

    上传时间: 2013-05-27

    上传用户:jjj0202

  • RS码、LDPC码级联编解码器的FPGA实现

    差错控制编码技术是现代通信技术中的关键技术之一,在移动通信、数字电视、计算机存储等数据通信系统中得到了广泛应用。在信道条件恶劣的情况中,常采用纠错能力更强的级联编解码方法,进行差错控制。本课题以RS码、LDPC 码...

    标签: LDPC FPGA RS码 级联

    上传时间: 2013-05-25

    上传用户:hrzx1234