虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

地面电视

  • 基于FPGA与AD9857的四路DVBC调制器的设计.rar

    随着数字时代的到来,信息化程度的不断提高,人们相互之间的信息和数据交换日益增加。正交幅度调制器(QAM Modulator)作为一种高频谱利用率的数字调制方式,在数字电视广播、固定宽带无线接入、卫星通信、数字微波传输等宽带通信领域得到了广泛应用。 近年来,集成电路和数字通信技术飞速发展,FPGA作为集成度高、使用方便、代码可移植性等优点的通用逻辑开发芯片,在电子设计行业深受欢迎,市场占有率不断攀升。本文研究基于FPGA与AD9857实现四路QAM调制的全过程。FPGA实现信源处理、信道编码输出四路基带I/Q信号,AD9857实现对四路I/Q信号的调制,输出中频信号。本文具体内容总结如下: 1.介绍国内数字电视发展状况、国内国际的数字电视标准,并详细介绍国内有线电视的系统组成及QAM调制器的发展过程。 2.研究了QAM调制原理,其中包括信源编码、TS流标准格式转换、信道编码的原理及AD9857的工作原理等。并着重研究了信道编码过程,包括能量扩散、RS编码、数据交织、星座映射与差分编码等。 3.深入研究了基于FPAG与AD9857电路设计,其中包括详细研究了FPGA与AD9857的电路设计、在allegro下的PCB设计及光绘文件的制作,并做成成品。 4.简单介绍了FPGA的开发流程。 5.深入研究了基于FPAG代码开发,其中主要包括I2C接口实现,ASI到SPI的转换,信道编码中的TS流包处理、能量扩散、RS编码、数据交织、星座映射与差分编码的实现及AD9857的FPGA控制使其实现四路QAM的调制。 6.介绍代码测试、电路测试及系统指标测试。 最终系统指标测试表明基于FPGA与AD9857的四路DVB-C调制器基本达到了国标的要求。

    标签: FPGA 9857 DVBC

    上传时间: 2013-04-24

    上传用户:sn2080395

  • 基于FPGA的HDMI显示系统的设计与实现.rar

    伴随着多媒体显示和传输技术的发展,人们获得了越来越高的视听享受。从传统的模拟电视,到标清、高清、全高清。与显示技术发展结伴而行的是显示接口技术的发展,从模拟的AV端子,S-Video和VGA接口,到数字显示的DVI接口,技术上经历了一个从模拟到数字,从并行到串行,从低速到高速的发展过程。 HDMI是最新的高清晰度多媒体接口,它的规范由Silicon Image等七家公司提出,具有带宽大,尺寸小,传输距离长和支持正版保护等功能,符合当今技术的发展潮流,一经推出,就获得了巨大的成功。成为平板显示器、高清电视等设备的标准接口之一,并获得了越来越广泛的应用。 从上世纪80年代XILINX发明第一款FPGA芯片以来,FPGA就以其体系结构和逻辑单元灵活,运算速度快,编程方便等优点广泛应用与IC设计、系统控制、视频处理、通信系统、航空航天等诸多方面。 本文利用ALTERA的一款高端FPGA芯片EP2S180F1508C3为核心,配合Silicon Image的专用HDMI接收芯片搭建了一个HDMI的接收显示平台。针对HDMI带宽宽,数据量大的特点,使用了新型的DDR2 SDRAM作为视频信号的输入和输出缓冲。在硬件板级设计上,针对HDMI和DDR2的相关高速电路,采用了一系列的高速电路设计方法,有效的避免了信号的反射,串扰等不良现象。同时在对HDMI规范和DDR2 SDRAM时序规范的深入研究的基础上,在ALTERA的开发平台QUARTUSII上编写了系统的顶层模块和相关各功能子模块,并仿真通过。 论文的主要工作和创新点表现在以下几个方面: 1、论文研究了最新的HDMI接口规范和新型存储器件DDR2的时序规范。 2、论文搭建的整个系统相当庞大,涉及到相关的规范、多种芯片的资料、各种工具软件的使用、原理图的绘制和PCB板的布局布线,直至后期的编程仿真,花费了作者大量的时间和精力。 3、论文首次使用FPGA来处理HDMI信号且直接驱动显示器件,区别于-般的ASIC方案。 4、论文对高速电路特别是的DDR2布局布线,采用了一系列的专门措施,具有一定的借鉴价值。

    标签: FPGA HDMI 显示系统

    上传时间: 2013-07-28

    上传用户:xiaoxiang

  • H264AVC的CAVLC编码算法研究及FPGA实现.rar

    H.264/AVC是国际电信联盟与国际标准化组织/国际电工委员会联合推出的活动图像编码标准,简称H.264。作为最新的国际视频编码标准,H.264/AVC与MPEG-4、H.263等视频编码标准相比,性能有了很大的提高,并已在流媒体、数字电视、电话会议、视频存储等诸多领域得到广泛的应用。 本论文的研究课题是基于H.264/AVC视频编码标准的CAVLC(Context-based Adaptive Variable Length Coding,基于上下文的自适应可变长编码)编码算法研究及FPGA实现。对于变换后的熵编码,H.264/AVC支持两种编码模式:基于上下文的可变长编码(CAVLC)和基于上下文的自适应算术编码(CABAC,Context-based Adaptive BinaryArithmetic Coding)。在H.264/AVC中,尽管CAVLC算法也是采用了VLC编码,但是同以往标准不同,它所有的编码都是基于上下文进行。这种方法比传统的查单一表的方法提高了编码效率,但也增加了设计上的困难。 作者在全面学习H.264/AVC协议和深入研究CAVLC编码算法的基础上,确定了并行编码的CAVLC编码器结构框图,并总结出了影响CAVLC编码器实现的瓶颈。针对这些瓶颈,对CAVLC编码器中的各个功能模块进行了优化设计,这些优化设计包括多参考块的表格预测法、快速查找表法、算术消除法等。最后,用Verilog硬件描述语言对所设计的CAVLC编码器进行了描述,用EDA软件对其主要功能模块进行了仿真,并在Cyclone II系列EP2C20F484的FPGA上验证了它们的功能。结果表明,该CAVLC编码器各编码单元的编码速度得到了显著提高且均能满足实时通信要求,为整个CAVLC编码器的实时通信提供了良好的基础。

    标签: CAVLC H264 FPGA 264

    上传时间: 2013-06-22

    上传用户:diamondsGQ

  • 基于FPGA的数字视频光纤传输系统的设计.rar

    随着计算机技术和通信技术的迅速发展,数字视频在信息社会中发挥着越来越重要的作用,视频传输系统已经被广泛应用于交通管理、工业监控、广播电视、银行、商场等多个领域。同时,FPGA单片规模的不断扩大,在FPGA芯片内部实现复杂的数字信号处理系统也成为现实,因此采用FPGA实现视频压缩和传输已成为一种最佳选择。 本文将视频压缩技术和光纤传输技术相结合,设计了一种基于无损压缩算法的多路数字视频光纤传输系统,系统利用时分复用和无损压缩技术,采用串行数字视频传输的方式,可在一根光纤中同时传输8路以上视频信号。系统在总体设计时,确定了基于FPGA的设计方案,采用ADI公司的AD9280和AD9708芯片实现A/D转换和D/A转换,在FPGA里实现系统的时分复用/解复用、视频数据压缩/解压缩和线路码编解码,利用光收发一体模块实现电光转换和光电转换。视频压缩采用LZW无损压缩算法,用Verilog语言设计了压缩模块和解压缩模块,利用Xilinx公司的IP核生成工具Core Generator生成FIFO来缓存压缩/解压缩单元的输入输出数据,光纤线路码采用CIMT码,设计了编解码模块,解码过程中,利用数字锁相环来实现发射与接收的帧同步,在ISE8.2和Modelsim仿真环境下对FPGA模块进行了功能仿真和时序仿真,并在Spartan-3E开发板和视频扩展板上完成了系统的硬件调试与验证工作,实验证明,系统工作稳定,图像清晰,实时传输效果好,可用于交通、安防、工业监控等多个领域。 本文将视频压缩和线路码编解码在FPGA里实现,利用FPGA的并行处理优势,大大提高了系统的处理速度,使系统具有集成度高、灵活性强、调试方便、抗干扰能力强、易于升级等特点。

    标签: FPGA 数字视频 光纤传输系统

    上传时间: 2013-06-27

    上传用户:几何公差

  • 高速PCB布线技术汇总.rar

    多篇高速PCB布线的文章,高速PCB板的电源布线设计,高频PCB设计中出现的干扰分析及对策 ,高速数字印制电路板电源地面层结构对ΔI噪声抑制的研究,高速PCB板的电源布线设计等等

    标签: PCB 布线技术

    上传时间: 2013-07-27

    上传用户:yyyyyyyyyy

  • 基于FPGA的TS流复用器及其接口的设计与实现.rar

    在数字电视系统中,MPEG-2编码复用器是系统传输的核心环节,所有的节目、数据以及各种增值服务都是通过复用打包成传输流传输出去。目前,只有少数公司掌握复用器的核心算法技术,能够采用MPEG-2可变码率统计复用方法提高带宽利用率,保证高质量图像传输。由于目前正处广播电视全面向数字化过渡期间,市场潜力巨大,因此对复用器的研究开发非常重要。本文针对复用器及其接口技术进行研究并设计出成形产品。 文中首先对MPEG-2标准及NIOS Ⅱ软核进行分析。重点研究了复用器中的部分关键技术:PSI信息提取及重构算法、PID映射方法、PCR校正及CRC校验算法,给出了实现方法,并通过了硬件验证。然后对复用器中主要用到的AsI接口和DS3接口进行了分析与研究,给出了设计方法,并通过了硬件验证。 本文的主要工作如下: ●首先对复用器整体功能进行详细分析,并划分软硬件各自需要完成的功能。给出复用器的整体方案以及ASI接口和DS3接口设计方案。 ●在FPGA上采用c语言实现了PSI信息提取与重构算法。 ●给出了实现快速的PID映射方法,并根据FPGA特点给出一种新的PID映射方法,减少了逻辑资源的使用,提高了稳定性。 ●采用Verilog设计了SI信息提取与重构的硬件平台,并用c语言实现了SDT表的提取与重构算法,在FPGA中成功实现了动态分配内存空间。 ●在FPGA上实现了.ASI接口,主要分析了位同步的实现过程,实现了一种新的快速实现字节同步的设计。 ●在FPGA上实现了DS3接口,提出并实现了一种兼容式DS3接口设计。并对帧同步设计进行改进。 ●完成部分PCB版图设计,并进行调试监测。 本复用器设计最大特点是将软件设计和硬件设计进行合理划分,硬件平台及接口采用Verilog语言实现,PSI信息算法主要采用c语言实现。这种软硬件的划分使系统设计更加灵活,且软件设计与硬件设计可同时进行,极大的提高了工作效率。 整个项目设计采用verilog和c两种语言完成,采用Altera公司的FPGA芯片EP1C20,在Quartus和NIOS IDE两种设计平台下设计实现。根据此方案已经开发出两台带有ASI和DS3接口的数字电视TS流复用器,经测试达到了预期的性能和技术指标。

    标签: FPGA TS流 复用器

    上传时间: 2013-08-03

    上传用户:gdgzhym

  • 基于FPGA的HDB3编译码设计.rar

    一般由信源发出的数字基带信号含有丰富的低频分量,甚至直流分量,这些信号往往不宜直接用于传输,易产生码间干扰进而直接影响传输的可靠性,因而要对其进行编码以便传输。传统的井下信号在传输过程中普遍采用曼彻斯特码的编解码方式,而该方式的地面解码电路复杂。FPGA(现场可编程门阵列)作为一种新兴的可编程逻辑器件,具有较高的集成度,能将编解码电路集成在一片芯片上,而HDB3码(三阶高密度双极性码)具有解码规则简单,无直流,低频成份少,可打破长连0和提取同步方便等优点。基于上述情况,本文提出了基于FPGA的}tDB3编译码设计方案。 该研究的总体设计方案包括用MATLAB进行HDB3编译码算法的验证,基于FPGA的HDB3码编译码设计与仿真,结果分析与比较三大部分。为了保证该设计的可靠性,首先是进行编译码的算法验证;其次通过在FPGA的集成设计环境QuartusⅡ软件中完成HDB3码的编译、综合、仿真等步骤,通过下载电缆下载到特定的FPGA芯片上,用逻辑分析仪进行时序仿真;最后将算法验证结果与仿真结果作一对比,分析该研究的可行性与可靠性。 研究表明,基于FPGA的HDB3编译码设计具有体积小,译码简单,编程灵活,集成度高,可靠等优点。

    标签: FPGA HDB3 编译码

    上传时间: 2013-05-26

    上传用户:teddysha

  • 基于FPGA的MPEG2TS码流实时分析与检测系统.rar

    当前我国正处在从模拟电视系统向数字电视系统的转型期,数字电视用户数量激增,其趋势是在未来的几年内数字电视将迅速普及。在应用逐渐广泛的数字电视系统中,监控数字电视服务正成为一种越来越迫切的需要。然而,目前对于数字电视并没有合适的监测仪器,因此无法及时方便地诊断出现问题的信号以及隔离需要维修的数字化设备。通常只有当电视屏幕上的图像消失时我们才知道数字信号系统出了问题。几乎没有任何线索可以用来找到问题的所在或原因,码流分析仪器在这种情况下应运而生。目前在数字电视系统的前端,通过监控了解数字视频广播(DVB)信号和服务的状况从而采取措施比通过观众的反映而采取措施要主动和及时得多。传输流(TS)的测试设备可使技术人员分析码流的内部情况,它们在决定未来服务质量和客户满意度方面将扮演更重要的角色。 本文着重研究了在DVB广播电视系统中,DVB-ASI信号的解码、MPEG-2TS的实时检错原理和基于现场可编辑门阵列(FPGA)的实现方法。文章首先阐述了数字电视系统的一些基本概念,介绍了MPEG-2/DVB标准、ETR101 290标准、异步串行接口(ASI)。然后介绍了FPGA的基本概念与开发FPGA所使用的软件工具。最后根据DVB-ASI接收系统的解码规则与MPEG-2TS码流的结构提出了一套基于FPGA的MPEG-2TS码流实时分析与检测系统设计方案并予以了实现。 在本系统中,FPGA起着核心的作用,主要完成DVB-ASI的解码、MPEG-2TS码流检错、以及数字电视节目专有信息(PSI)提取等功能。本文实现的系统与传统的码流分析仪相比具有集成度较高、易扩展、便于携带、稳定性好、性价比高等优点。

    标签: MPEG2TS FPGA 码流

    上传时间: 2013-06-04

    上传用户:love1314

  • 基于FPGA的通用加扰算法(CSA)的设计和实现.rar

    随着数字视频广播的发展,观众将会面对越来越多综合或专门频道的选择,欣赏到更高品质,更多服务的节目。而广播业者则要为这些节目的版权购买,制作而承受更高的成本,单纯的广告收入已经不够。要求对用户收取一定的收视费用,而另一方面,调查也显示用户是愿意预付一定费用以获得更好服务的。条件接受系统(Conditional Access system)就是为了商业目的而对某些广播服务实施接入控制,决定一个数字接受设备能否将特定的广播节目展现给最终用户的系统。CA技术要求既能使用户自由选择收看节目又能保护广播业者的利益,确算只有已支付了或即将支付费用的用户才能收看到所选的电视节目。在数字电视领域中,CA系统无疑将成为发展新服务的必需条件。但是在不同的运营商可能会使用不同的CA系统,在不同的CA系统之间进行互操作所必需共同遵守的最基本条件是:通用的加扰算法。每个用户接收设备中应集成相应的解扰模块。在我国国家标准--数字电视条件接收系统GY/Z 175-2001的附录H中有详细的描述。 FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 首先本文简要介绍CA系统的目的和组成,FPGA的结构和原理,优势。然后介绍了利用FPGA来实现CA系统主要组成部分即加扰的原理和步骤,分析算法,划分逻辑结构,软件仿真,划分硬件模块,硬件性能分析,验证平台构建,硬件实现等。 然后对以上各个部分做详细的阐述。同时为了指导FPGA设计,给出了FPGA的结构和原理与FPGA设计的基本原则、设计的基本技巧、设计的基本流程; 最后给出了该加扰系统的测试与验证方法以及验证和测试结果。

    标签: FPGA CSA 算法

    上传时间: 2013-06-22

    上传用户:chongchong2016

  • 安森美半导体的电源参考设计

    通过主要的设计例子, 演示出所有功能的详情、概要、板和测试结果•针对真实应用(如液晶体电视电源、打印机电源、笔记本电脑适配器等)•采用实际的元器件选择(如电容器

    标签: 安森美半导体 参考设计 电源

    上传时间: 2013-05-23

    上传用户:woshiyaosi