虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

可重构结构

  • 基于FPGA的DDS双通道波形发生器

    直接数字频率合成(DDS)是七十年代初提出的一种新的频率合成技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速的发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提供了一种全新的设计模式。本论文结合这两项技术,并利用单片机控制灵活的特点,开发了一种双通道波形发生器。在实现过程中,选用了Altera公司的EP1C6Q240C8芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用ATMAL的AT89C51单片机作为控制芯片。本设计中,FPGA芯片的设计和与控制芯片的接口设计是一个难点,本文利用Altera的设计工具Quartus Ⅱ并结合Verilog-HDL语言,采用硬件编程的方法很好地解决了这一问题。 本文首先介绍了波形发生器的研究背景和DDS的理论。然后详尽地叙述了用EP1C6Q240C8完成DDS模块的设计过程,这是设计的基础。接着分析了整个设计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。然后就这三个部分分别详细地进行了阐述。并且通过系列实验,详细地分析了该波形发生器的功能、性能、实现和实验结果。最后,结合在设计中的一些心得体会,提出了本设计中的一些不足和改进意见。通过实验说明,本设计达到了预定的要求,并证明了采用软硬件结合,利用FPGA实现基于DDS架构的双路波形发生器是可行的。

    标签: FPGA DDS 双通道 波形发生器

    上传时间: 2013-04-24

    上传用户:gxf2016

  • 基于FPGA的FFT信号处理器的设计与实现

    现场可编程门阵列(FPGA)是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,它结合了微电子技术、电路技术和EDA(Electronics Design Automation)技术。随着它的广泛应用和快速发展,使设计电路的规模和集成度不断提高,同时也带来了电子系统设计方法和设计思想的不断推陈出新。 随着数字电子技术的发展,数字信号处理的理论和技术广泛的应用于通讯、语音处理、计算机和多媒体等领域。离散傅立叶变换(DFT)作为数字信号处理中的基本运算,发挥着重要作用。而快速傅里叶变换(FFT)算法的提出,使离散傅里叶变换的运算量减小了几个数量级,使得数字信号处理的实现变得更加容易。FFT已经成为现代数字信号处理的核心技术之一,因此对FFT算法及其实现方法的研究具有很强的理论和现实意义。 本文主要研究如何利用FPGA实现FFT算法,研制具有自主知识产权的FFT信号处理器。该设计采用高效基-16算法实现了一种4096点FFT复数浮点运算处理器,其蝶形处理单元的基-16运算核采用两级改进的基-4算法级联实现,仅用8个实数乘法器就可实现基-16蝶形单元所需的8次复数乘法运算,在保持处理速度的优势下,比传统的基-16算法节省了75%的乘法器逻辑资源。 在重点研究处理器蝶形单元设计的基础上,本文完成了整个FFT处理器电路的FPGA设计。首先基于对处理器功能和特点的分析,研究了FFT算法的选取和优化,并完成了处理器体系结构的设计;在此基础上,以提高处理器处理速度和减小硬件资源消耗为重点研究了具体的实现方案,完成了1.2万行RTL代码编程,并在XILINX公司提供的ISE 9.1i集成开发环境中实现了处理器各个模块的RTL设计:随后,以XILINX Spartan-3系列FPGA芯片xc3S1000为硬件平台,完成了整个FFT处理器的电路设计实现。 经过仿真验证,本文所设计的FFT处理器芯片运行速度达到了100MHz,占用的FPGA门数为552806,电路的信噪比可以达到50dB以上,达到了高速高性能的设计要求。

    标签: FPGA FFT 信号处理器

    上传时间: 2013-04-24

    上传用户:科学怪人

  • 大场景图像融合可视化系统

    随着图像处理技术和投影技术的不断发展,人们对高沉浸感的虚拟现实场景提出了更高的要求,这种虚拟显示的场景往往由多通道的投影仪器同时在屏幕上投影出多幅高清晰的图像,再把这些单独的图像拼接在一起组成一幅大场景的图像。而为了给人以逼真的效果,投影的屏幕往往被设计为柱面屏幕,甚至是球面屏幕。当图像投影在柱面屏幕的时候就会发生几何形状的变化,而避免这种几何变形的就是图像拼接过程中的几何校正和边缘融合技术。 一个大场景可视化系统由投影机、投影屏幕、图像融合机等主要模块组成。在虚拟现实应用系统中,要实现高临感的多屏幕无缝拼接以及曲面组合显示,显示系统还需要运用几何数字变形及边缘融合等图像处理技术,实现诸如在平面、柱面、球面等投影显示面上显示图像。而关键设备在于图像融合机,它实时采集图形服务器,或者PC的图像信号,通过图像处理模块对图像信息进行几何校正和边缘融合,在处理完成后再送到显示设备。 本课题提出了一种基于FPGA技术的图像处理系统。该系统实现图像数据的AiD采集、图像数据在SRAM以及SDRAM中的存取、图像在FPGA内部的DSP运算以及图像数据的D/A输出。系统设计的核心部分在于系统的控制以及数字信号的处理。本课题采用XilinxVirtex4系列FPGA作为主处理芯片,并利用VerilogHDL硬件描述语言在FPGA内部设计了A/D模块、D/A模块、SRAM、SDRAM以及ARM处理器的控制器逻辑。 本课题在FPGA图像处理系统中设计了一个ARM处理器模块,用于上电时对系统在图像变化处理时所需参数进行传递,并能实时从上位机更新参数。该设计在提高了系统性能的同时也便于系统扩展。 本文首先介绍了图像处理过程中的几何变化和图像融合的算法,接着提出了系统的设计方案及模块划分,然后围绕FPGA的设计介绍了SDRAM控制器的设计方法,最后介绍了ARM处理器的接口及外围电路的设计。

    标签: 图像融合 可视化

    上传时间: 2013-04-24

    上传用户:1047385479

  • 单相有源滤波器控制系统的研究

    现代家庭中单相供电的用电设备如电脑、电视机、冰箱等都具有非线性特性,都会产生谐波污染电网。本文针对这一现象研究了单相并联电压型有源电力滤波器(APF),设计了一个APF控制系统来产生与谐波电流大小相等方向相反的补偿电流,并使补偿电流实时地跟踪谐波电流,从而消除谐波电流达到净化电网。 本文对提出的APF控制系统从模拟和数字两个方面进行了深入的研究。 首先,设计了APF的主电路结构,确定了系统中电感电容等元件参数,并根据仿真结果系统地分析了参数变化对系统补偿效果的影响,然后根据补偿效果选择最佳的参数值。 其次,针对控制系统要求,选用适合系统的电流电压PI双环控制系统,通过参数优化后得到了控制器的最优参数,使控制效果达到最优。并从理论上详细分析了无差拍控制算法。 最后,利用滞环比较原理制作了10KHz的三角波发生器,用于PWM调制电路。在对硬件描述语言以及FPGA设计流程深入理解的基础上,利用Verilog语言实现了双环PI控制器和PWM发生电路的数字化,使得有源电力滤波器补偿精度提高,有更好的可修改性,可使用于很多不同的非线性负载。

    标签: 单相 有源滤波器 控制系统

    上传时间: 2013-07-27

    上传用户:aa17807091

  • 短波差分跳频通信系统的研究

    差分跳频(DFH)是集跳频图案、信息调制与解调于一体,是一个全面基于数字信号处理的全新概念的通信系统,其技术体制和原理与常规跳频完全不同,较好地解决了数据速率和跟踪干扰等问题,代表了当前短波通信的一个重要发展方向。美国Sanders公司推出了名为CHESS的新型短波跳频通信系统,并获得了成功,但我国对该体制和技术的研究还处于初始阶段,目前还不太成熟,离实际应用还有一段距离。 本文主要基于FPGA芯片的基础上对差分跳频进行了研究,用FPGA来实现数字信号处理可以很好地解决并行性和速度问题,而且其灵活的可配置特性,使得FPGA构成的DSP系统非常易于修改、测试及硬件升级。而且设计中尽量采用软件无线电体系结构,减少模拟环节,把数字化处理尽量靠近天线,从而建立一个通用、标准、模块化的硬件平台,用软件编程来实现差分跳频的各种功能,从基于硬件的设计方法中解放出来。 本文首先介绍了课题背景及研究的意义,阐述了目前差分跳频中频率合成跟频率识别的实现方案。在频率合成中,着重对DDS的相位截断误差及幅度量化误差进行仿真,找出基于FPGA实现的最佳参数及改善方法。在频率识别中,基于Xilinx公司提供FFT IP核,接收端中的位同步,频率识别均在FFT的理论上进行设计。最后根据设计方案制作基于FPGA的电路板。 设计中跳频图案、直接数字频率合成器、频率识别、位同步、跳频图案恢复、线性调频z变换等模块均采用Verilog和VHDL两种通用硬件描述语言进行设计,以便能够在所有厂家的FPGA芯片中移植。

    标签: 短波差分 跳频通信

    上传时间: 2013-07-22

    上传用户:yezhihao

  • 基于FPGA的高速FIR数字滤波器设计

    本论文设计了一种基于FPGA的高速FIR数字滤波器,滤波器实现低通滤波,截止频率为1MHz,通带波纹小于1 dB,阻带最大衰减为-40 dB,输入输出数据为8位二进制,采样频率为10MHz。 论文首先简要介绍了数字滤波器的基本原理和线性FIR数字滤波器的性质、结构,根据滤波器的性能要求选择窗函数、确定系数,在算法上为了满足数字滤波器的要求,对系数放大512倍并取整,并用Matlab对数字滤波器原理进行了证明。同时简述了EDA技术和FPGA设计流程。 其次,论文说明了FIR数字滤波器模块的划分,并用Verilog语言在Modelsim环境下进行了功能测试。对于数字滤波器系数中的-1,-2,4这些简单的系数乘法直接进行移位和取反,可以极大的节省资源和优化设计。而对普通系数乘法采用4-BANT(4bits-at-a-time)的并行算法,用加法累加快速实现了乘积的运算;另外,在本设计进行部分积累加时,采用舍取冗余位,主要是根据设计时已对系数进行了放大,而输出时又要将结果相应的缩小,所以在累加时,提前对部分积缩小,从而减少了运算量,从时间和资源上都得到了优化。 论文的最后分别用Modelsim和Quartus II进行了FIR数字滤波器的前仿真和后仿真,将仿真的结果和Matlab中原理验证时得到的理想值进行了比较,并对所产生的误差进行了分析。仿真结果表明:本16阶FIR数字滤波器设计能够实现截止频率为1MHz的低通滤波,并且工作频率可达150MHz以上。

    标签: FPGA FIR 数字 滤波器设计

    上传时间: 2013-07-15

    上传用户:lanwei

  • 基于FPGA的光接收机数据恢复电路

    随着信息产业的不断发展,人们对数据传输速率要求越来越高,从而对数据发送端和接收端的性能都提出了更高的要求。接收机的一个重要任务就是在于克服各种非理想因素的干扰下,从接收到的被噪声污染的数据信号中提取同步信息,并进而将数据正确的恢复出来。而数据恢复电路是光纤通信和其他许多类似数字通信领域中不可或缺的关键电路,其性能决定了接收端的总体性能。 目前,数据恢复电路的结构主要有“时钟提取”和“过采样”两种结构。基于“过采样”的数据恢复方法的关键是过采样,即通过引入参考时钟,并增加时钟源个数的方式来代替第一种方法中的“时钟提取”。与“时钟提取”的数据恢复方法相比,基于“过采样”的数据恢复方法在性能上还有较大的差距,但是后者拥有高带宽、立即锁存能力、较低的等待时间和更高的抖动容限,更易于通过数字的方法实现,实现更简单,成本更低,并且这是一种数字化的模拟技术。如果能通过“过采样”方法在普通的逻辑电路上实现622.08Mb/s甚至更高速率的数据恢复,并将它作为一个IP模块来代替专用的时钟恢复芯片,这无疑将是性能和成本的较好结合。 本文主要研究“过采样”数据恢复电路的基本原理,通过全数字的设计方法,给出了在低成本可编程器件FPGA上实现数据恢复电路两种不同的过采样的实现方案,即基于时钟延迟的过采样和基于数据延迟的过采样。基于时钟延迟的过采样数据恢复电路方案,通过测试验证,其最高恢复的数据传输率可达到640Mb/s。测试结果表明,采用该方案实现的时钟恢复电路可工作在光纤通信系统STM-4速率级,即622.08MHz频率上,各方面指标基本符合要求。

    标签: FPGA 光接收机 数据恢复 电路

    上传时间: 2013-04-24

    上传用户:axxsa

  • 基于FPGA的扩频模拟信号源的设计

    信号发生器是控制系统的重要组成部分。研制出较高精度、可靠性、可调参数的数字量信号发生器,对于促进我国航空、航天、国防以及工业自动化等领域的发展均有重要意义。本文以直接频率合成和伪随机码的设计与实现为中心,对扩频通信的基本理论、信号源的结构、载波调制等问题进行了深入的分析和研究,并给出了模块的硬件实现方案。 现场可编程门阵列(FPGA)设计灵活、速度快,在数字专用集成电路的设计中得到了广泛的应用。论文介绍了FPGA技术的发展和应用,包括VHDL语言的基本语法结构和FPGA器件的开发设计流程等等。详细地分析了各类频率合成器的基础上提出采用直接数字式频率合成原理(DDS)实现低相位噪声、高分辨率、高精度和高稳定度的信号源。研究了测距伪随机码的原理,确定选用移位序列作为系统的扩频码序列,并选取了符合本系统使用的移位序列扩频码。分别给出并分析了相应的FPGA硬件实现电路。 对于载波调制这一关键技术,提出了采用二进制相移键控相位选择法并相应作了硬件实现。最后给出具体设计实现了的信号发生器的输出波形。经实验室测试,设计的信号发生器满足要求,且结构简单、工作可靠、重量轻、体积小,具有良好的应用前景。

    标签: FPGA 扩频 模拟信号源

    上传时间: 2013-04-24

    上传用户:qweqweqwe

  • 基于AD9833的高精度可编程波形发生器系统设计

    基于AD9833的高精度可编程波形发生器系统设计:介绍一种基于AD9833的高精度可编程波形发生器系统解决方案,该系统具有可编程设置、波形频率和峰峰值等功能,从而解决DDS输出波形峰峰值不能直接

    标签: 9833 AD 高精度 可编程

    上传时间: 2013-04-24

    上传用户:ecooo

  • 基于FPGA的逆变器的研制

    现场可编程门阵列器件(FPGA)是一种新型集成电路,可以将众多的控制功能模块集成为一体,具有集成度高、实用性强、高性价比、便于开发等优点,因而具有广泛的应用前景。单相全桥逆变器是逆变器的一种基本拓扑结构,对它的研究可以为三相逆变器研究提供参考,因此对单相全桥逆变器的分析有着重要的意义。 本文研制了一种基于FPGA的SPWM数字控制器,并将其应用于单相逆变器进行了试验研究。主要研究内容包括:SPWM数字控制系统软件设计以及逆变器硬件电路设计,并对试验中发现的问题进行了深入分析,提出了相应的解决方案和减小波形失真的措施。在硬件设计方面,首先对双极性/单极性正弦脉宽调制技术进行分析,选用适合高频设计的双极性调制。其次,详细分析死区效应,采用通过判断输出电压电流之间的相位角预测桥臂电流极性方向,超前补偿波形失真的方案。最后,采用电压反馈实时检测技术,对PWM进行动态调整。在控制系统软件设计方面,采用FPGA自上而下的设计方法,对其控制系统进行了功能划分,完成了DDS标准正弦波发生器、三角波发生器、SPWM产生器以及加入死区补偿的PWM发生器、电流极性判断(零点判断模块和延时模块)和反馈等模块的设计。针对仿真和实验中的毛刺现象,分析其产生机理,给出常用的解决措施,改进了系统性能。

    标签: FPGA 逆变器

    上传时间: 2013-07-06

    上传用户:66666