虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

可重构结构

  • 基于FPGA的视频图像画面分割器的设计.rar

    视频监控一直是人们关注的应用技术热点之一,它以其直观、方便、信息内容丰富而被广泛用于在电视台、银行、商场等场合。在视频图像监控系统中,经常需要对多路视频信号进行实时监控,如果每一路视频信号都占用一个监视器屏幕,则会大大增加系统成本。视频图像画面分割器主要功能是完成多路视频信号合成一路在监视器显示,是视频监控系统的核心部分。 传统的基于分立数字逻辑电路甚至DSP芯片设计的画面分割器的体积较大且成本较高。为此,本文介绍了一种基于FPGA技术的视频图像画面分割器的设计与实现。 本文对视频图像画面分割技术进行了分析,完成了基于ITU-RBT.656视频数据格式的画面分割方法设计;系统采用Xilinx公司的FPGA作为核心控制器,设计了视频图像画面分割器的硬件电路,该电路在FPGA中,将数字电路集成在一起,电路结构简洁,具有较好的稳定性和灵活性;在硬件电路平台基础上,以四路视频图像分割为例,完成了I2C总线接口模块,异步FIFO模块,有效视频图像数据提取模块,图像存储控制模块和图像合成模块的设计,首先,由摄像头采集四路模拟视频信号,经视频解码芯片转换为数字视频图像信号后送入异步FIFO缓冲。然后,根据画面分割需要进行视频图像数据抽取,并将抽取的视频图像数据按照一定的规则存储到图像存储器。最后,按照数字视频图像的数据格式,将四路视频图像合成一路编码输出,实现了四路视频图像分割的功能。从而验证了电路设计和分割方法的正确性。 本文通过由FPGA实现多路视频图像的采集、存储和合成等逻辑控制功能,I2C总线对两片视频解码器进行动态配置等方法,实现四路视频图像的轮流采集、存储和图像的合成,提高了系统集成度,并可根据系统需要修改设计和进一步扩展功能,同时提高了系统的灵活性。

    标签: FPGA 视频图像 画面分割器

    上传时间: 2013-04-24

    上传用户:gundan

  • 基于FPGA的信道化中频接收机设计与仿真实现研究.rar

    软件无线电(Software Radio)具有高度灵活性、开放性,很容易实现与现有和未来多种电台的兼容,能最大限度的满足了互联互通的要求。而基于多相滤波器组的信道化软件无线电接收技术以其固有的全概率接收、降采样速率以及其大幅提高运算速率的能力越来越受到重视。本文主要研究了基于现场可编程门阵列(FPGA)的软件无线电信道化中频接收技术设计与实现。 首先介绍了软件无线电的基本概念以及其发展状况,深入讨论了软件无线电的基本理论,主要介绍了设计中所用到的带通采样技术、信号的抽取技术与多相滤波技术。 然后简要介绍了信道化中频接收机的射频(Radio Frequency,RF)前端接收技术,设置宽中频超外差接收机射频前端的设计指标,给出了改进的实信号滤波器组低通型实现结构,并依此推导和建立了实信号多相滤波器组信道化中频接收机的数学模型。 最后基于EP1S80开发平台实现了实信号多相滤波器组信道化的中频接收机。给出了多相滤波器、抽取运算、FFT运算、信道划分以及复乘运算的设计方案。仿真结果表明,该接收机能够实现对中频信号的正确接收,验证了系统设计的可行性。

    标签: FPGA 信道 中频

    上传时间: 2013-05-24

    上传用户:wyaqy

  • 基于FPGA的多通道DMA控制器的IP核设计.rar

    当前,随着电子技术的飞速发展,智能化系统中需要传输的数据量日益增大,要求数据传送的速度也越来越快,传统的数据传输方式已无法满足目前的要求。在此前提下,采用高速数据传输技术成为必然,DMA(直接存储器访问)技术就是较理想的解决方案之一,能够满足信息处理实时性和准确性的要求。 本文以EDA工具、硬件描述语言和可编程逻辑器件(FPGA)为技术支撑,设计DMA控制器的总体结构。在通道检测模块中,解决了信号抗干扰和请求信号撤销问题,并提出并行通道检测算法;在优先级管理模块中提出了动态优先级端口响应机制;在传输模块中采用状态机的设计思想设计多个通道的数据传输。通过各模块问题的解决及新方法的采用,最终设计出基于FPGA的多通道DMA控制器的IP软核。实验仿真结果表明,本控制器传输速度较快,主频达100MHz以上,且工作稳定。

    标签: FPGA DMA 多通道

    上传时间: 2013-05-16

    上传用户:希酱大魔王

  • FPGA芯片关键电路设计.rar

    现场可编程门阵列(FPGA)器件是能通过对其进行编程实现具有用户规定功能的电路,特别适合集成电路的新品开发和小批量ASIC电路的生产。近几年来,FPGA的发展非常迅速,但目前国内厂商所使用的FPGA芯片主要还是从国外进口,这种状况除了给生产厂家带来很大的成本压力以外,同时也影响到国家信息产业的保密和安全问题,因此在国内自主研发FPGA便成为一种必然的趋势。 基于上述现实状况及国内市场的巨大需求,中国电子科技集团公司第58研究所近年来对FPGA进行了专项研究,本论文正是作为58所专项的一部分研究工作的总结。本文深入研究了FPGA的相关设计技术,并进行了实际的FPGA器件设计,研究工作的重点是在华润上华(CSMC)0.5μm标准CMOS工艺基础上进行具有6000有效门的FPGA的电路设计与仿真。 论文首先阐述了可编程逻辑器件的基本结构,就可编程逻辑器件的发展过程及其器件分类,对可编程只读存储器、现场可编程逻辑阵列、可编程阵列逻辑、通用逻辑阵列和复杂PLD等的基本结构特点进行了讨论。接着讨论了FPGA的基本结构与分类及它的编程技术,另外还阐述了FPGA的集成度和速率等相关问题。并根据实际指标要求确定本文研究目标FPGA的基本结构和它的编程技术,在华润上华0.5μm标准CMOS工艺的基础上,进行一款FPGA芯片的设计研究工作。进行了可编程逻辑单元的基本结构的设计,并用CMOS逻辑和NMOS传输管逻辑实现了函数发生器、快速进位链和触发器的电路设计,并对其进行了仿真,达到了预期的目标。

    标签: FPGA 芯片 电路设计

    上传时间: 2013-08-01

    上传用户:baitouyu

  • 基于软件无线电的16QAM调制解调器设计与FPGA实现.rar

    本文将高效数字调制方式QAM和软件无线电技术相结合,在大规模可编程逻辑器件FPGA上对16QAM算法实现。在当今频谱资源日趋紧缺的情况下有很大现实意义。 论文对16QAM软件实现的基础理论,带通采样理论、变速率数字信号处理相关抽取内插技术做了推导和分析;深入研究了软件无线电核心技术数字下变频原理和其实现结构;对CIC、半带等高效数字滤波器原理结构和性能作了研究;16QAM调制和解调系统设计采用自项向下设计思想;采用硬件描述语言VerilogHDL在EDA工具QuartusII环境下实现代码输入;对系统调试采用了算法仿真和在系统实测调试相结合方法。 论文首先对16QAM调制解调算法进行系统级仿真,并对实现的各模块的可行性仿真验证,在此基础上,完成了调制端16QAM信号的时钟分频模块、串并转换模块、星座映射、8倍零值内插、低通滤波以及FPGA和AD9857接口等模块;解调器主要完成带通采样、16倍CIC抽取滤波,升余弦滚降滤波,以及16QAM解码等模块,实现了16QAM调制器;给出了中频信号时域测试波形和频谱图。本系统在200KHz带宽下实现了512Kbps的高速数据数率传输。论文还对增强型数字锁相环EPLL的实现结构进行了研究和性能分析。

    标签: FPGA QAM 16

    上传时间: 2013-07-10

    上传用户:kennyplds

  • 基于FPGA的8PSK调制解调技术研究.rar

    软件无线电是近年提出的新的通信体系,由于其具有灵活性和可重配置性并且符合通信的发展趋势,已成为通信系统设计的研究热点。因此对基于软件无线电的调制解调技术进行深入细致的研究非常有意义。 本文首先从阐述软件无线电的理论基础入手,对多速率信号处理中的内插和抽取、带通采样、数字变频等技术进行了分析与探讨,为设计和实现8PSK调制解调器提供了非常重要的理论依据。然后,研究了8PSK调制解调技术,详细论述了它们的基本概念和原理,提出了系统实现方案,在DSP+FPGA平台上实现了8PSK信号的正确调制解调。文中着重研究了突发通信的同步和频偏纠正算法,针对同步算法选取了一种基于能量检测法的快速位同步算法,采用相关器实现,同时实现位同步和帧同步。并且对于突发通信的多普勒频偏纠正,设计了一个基于自动频率控制(AFC)环的频偏检测器,通过修改数控振荡器(NCO)的频率控制字方法来校正本地载波频率,整个算法结构简单,运算量小,频偏校正速度快,具有较好的实用性。其次,对相干解调的初始相位进行纠正时,提出了一种简单易行的CORDIC方法,同时对FPGA编程当中的一些关键问题进行了介绍。最后,设计了自适应调制解调器,根据信噪比和误码率来自适应的改变调制方式,以达到最佳的传输性能。

    标签: FPGA 8PSK 调制解调

    上传时间: 2013-04-24

    上传用户:mingaili888

  • 基于NiosⅡ的FPGACPU调试技术研究.rar

    本文研究了基于Nios Ⅱ的FPGA-CPU调试技术。论文研究了NiosⅡ嵌入式软核处理器的特性;实现了以Nios Ⅱ嵌入式处理器为核心的FPGA-CPU调试系统的软、硬件设计;对两种不同类型的FPGA-CPU进行了实际调试,对实验数据进行了分析。 在硬件方面,为了控制和检测FPGA-CPU,设计并实现了FPGA-CPU的控制电路、FPGA-CPU的内部通用寄存器组扫描电路、存储器电路等;完成了各种外围设备接口的设计;实现了调试系统的整体设计。 在软件方面,设计了调试监控软件,完成了对FPGA-CPU运行的控制和信号状态的监测。这些信号包括地址和数据总线以及各种寄存器的数据等;实现了多种模式下的FPGA-CPU调试支持单时钟调试、单步调试和软件断点多种调试模式。此外,设计了专用的编译软件,实现了基于不同指令系统的伪汇编程序编译,提高了调试效率。 本文作者在实现了FPGA-CPU调试系统基础上,对两种指令系统不同、结构迥异的FPGA-CPU进行实际调试。调试结果表明,这种基于IP核的可复用设计技术,能够在一个FPGA芯片内实现调试系统和FPGA-CPU的无缝连接,能够有效地调试FPGA-CPU。

    标签: FPGACPU Nios 调试

    上传时间: 2013-08-04

    上传用户:zhch602

  • 基于FPGA和单片机的光栅转矩传感器的研究.rar

    转矩的测量对各种机械产品的研究开发、测试分析、质量检验、安全和优化控制等工作有重要的意义。现有的转矩传感器一般结构复杂,制造安装困难。本文介绍了一种结构简单,测量精度高的新型转矩传感器——基于FPGA和单片机的光栅转矩传感器。 本文主要工作包括: 1、介绍了当前转矩传感器的发展现状,分析了各种类型转矩传感器的特点和存在的不足。 2、介绍了光栅转矩传感器的工作原理,将光栅输出的光电信号转换成矩形波信号,通过分析旋转轴的各种运动对光电输出信号的影响,得知两路矩形波信号的相位与扭转角的关系,从而得到系统测量方案,并推导出具体的测量计算公式。 3、构建了系统实验平台,主要由被测量主轴、光栅对机构、光电装置座三个部分构成。 4、基于现场可编程门阵列(FPGA)和单片机,完成系统硬件电路及软件设计。 5、根据动态测量数据的时变性、随机性、相关性和动态性等,研究了动态测量数据的处理方法。 6、对系统调试和实验。采取先对各个单元模块独立调试与实验的方法,对每个单元电路的性能进行分析处理,然后进行联合调试与实验,并对传感器进行标定。 7、对系统误差进行分析,并提出了改进措施。

    标签: FPGA 单片机 光栅

    上传时间: 2013-06-19

    上传用户:xiangwuy

  • 基于FPGA的π4DQPSK全数字中频发射机和接收机的实现.rar

    本文以电子不停车收费系统课题为背景,设计并实现了基于FPGA的π/4-DOPSK全数字中频发射机和接收机。π/4-DQPSK广泛应用于移动通信和卫星通信中,具有频带利用率高、频谱特性好、抗衰落性能强的特点。 近年来现场可编程门阵列(FPGA)器件在芯片逻辑规模和处理速度等方面性能的迅速提高,用硬件编程实现无线功能的软件无线电技术在理论和实用化上都趋于成熟和完善,因此可以把数字调制,数字上/下变频,数字解调在同一块FPGA上实现,即实现了中频发射机和接收机一体化的片上可编程系统(SOPC,System On Programmabie Chip)。 本文首先根据指标要求对数字收发机方案进行设计,确定了适合不停车收费系统的全数字发射机和接收机的结构,接着根据π/4-DQPSK发射机和接收机的理论,设计并实现了基于FPGA的成形滤波器SRRC、半带滤波器HB和定时算法并给出性能分析,最后给出硬件测试平台上结果和测试结果分析。

    标签: 4DQPSK FPGA 全数字

    上传时间: 2013-06-23

    上传用户:chuckbassboy

  • 基于FPGA的TS流复用器及其接口的设计与实现.rar

    在数字电视系统中,MPEG-2编码复用器是系统传输的核心环节,所有的节目、数据以及各种增值服务都是通过复用打包成传输流传输出去。目前,只有少数公司掌握复用器的核心算法技术,能够采用MPEG-2可变码率统计复用方法提高带宽利用率,保证高质量图像传输。由于目前正处广播电视全面向数字化过渡期间,市场潜力巨大,因此对复用器的研究开发非常重要。本文针对复用器及其接口技术进行研究并设计出成形产品。 文中首先对MPEG-2标准及NIOS Ⅱ软核进行分析。重点研究了复用器中的部分关键技术:PSI信息提取及重构算法、PID映射方法、PCR校正及CRC校验算法,给出了实现方法,并通过了硬件验证。然后对复用器中主要用到的AsI接口和DS3接口进行了分析与研究,给出了设计方法,并通过了硬件验证。 本文的主要工作如下: ●首先对复用器整体功能进行详细分析,并划分软硬件各自需要完成的功能。给出复用器的整体方案以及ASI接口和DS3接口设计方案。 ●在FPGA上采用c语言实现了PSI信息提取与重构算法。 ●给出了实现快速的PID映射方法,并根据FPGA特点给出一种新的PID映射方法,减少了逻辑资源的使用,提高了稳定性。 ●采用Verilog设计了SI信息提取与重构的硬件平台,并用c语言实现了SDT表的提取与重构算法,在FPGA中成功实现了动态分配内存空间。 ●在FPGA上实现了.ASI接口,主要分析了位同步的实现过程,实现了一种新的快速实现字节同步的设计。 ●在FPGA上实现了DS3接口,提出并实现了一种兼容式DS3接口设计。并对帧同步设计进行改进。 ●完成部分PCB版图设计,并进行调试监测。 本复用器设计最大特点是将软件设计和硬件设计进行合理划分,硬件平台及接口采用Verilog语言实现,PSI信息算法主要采用c语言实现。这种软硬件的划分使系统设计更加灵活,且软件设计与硬件设计可同时进行,极大的提高了工作效率。 整个项目设计采用verilog和c两种语言完成,采用Altera公司的FPGA芯片EP1C20,在Quartus和NIOS IDE两种设计平台下设计实现。根据此方案已经开发出两台带有ASI和DS3接口的数字电视TS流复用器,经测试达到了预期的性能和技术指标。

    标签: FPGA TS流 复用器

    上传时间: 2013-08-03

    上传用户:gdgzhym