虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

可重构结构

  • 基于FPGA的直扩通信系统的同步设计与实现.rar

    扩频通信技术因为具有较强的抗干扰、抗噪声、抗多径衰落能力、较好的保密性、较强的多址能力和高精度测量等优点,在军事抗干扰和个人通信业务中得到了很大的发展。尤其是基于扩频理论的CDMA通信技术成为国际电联规定的第三代移动通信系统的主要标准化建议后,标志着扩频通信技术在民用通信领域的应用进入了新阶段。 近年来,随着微电子技术和电子设计自动化(EDA)技术的迅速发展,以FPGA和CPLD为代表的可编程逻辑器件凭借其设计方便灵活等特点广泛应用于数字信号处理领域。 本论文正是采用基于FPGA硬件平台来实现了一个直接序列扩频通信基带系统,该系统的实现涉及扩频通信和有关FPGA的相关知识,以及实现这些模块的VHDL硬件描述语言和QuartusⅡ开发平台,目标是实现一个集成度高、灵活性强、并具有较强的数据处理能力的扩频通信基带系统。 本论文中首先对扩频通信的基础理论做了探讨,着重对直序扩频的理论进行了分析;其次根据理论分析,设计了全数字直接序列扩频基带系统的结构,完成了扩频序列的产生、信息码的输入和扩频。重点完成了对基带扩频信号的相关解扩和几种同步捕获电路的设计,将多种专用芯片的功能集成在一片大规模FPGA芯片上。在论文中列出了部分模块的VHDL程序,并在QuartusⅡ仿真平台上完成各部分模块的功能仿真。

    标签: FPGA 直扩通信 同步设计

    上传时间: 2013-04-24

    上传用户:chenjjer

  • 基于FPGA的高速矩阵运算算法研究.rar

    矩阵运算是描述许多工程问题中不可缺少的数学关系,矩阵运算具有执行效率好、速度快、集成度高等优点,并且随着动态可配置技术的发展,灵活性也有了很大的提高。因此,寻找矩阵运算的高速实现方法是具有很大的现实意义,能够为高速运算应用提供技术支持。 为了提高研究成果的实用性与商用性,本文主要针对某种体积小、运算速度和性能要求很高的特殊场合设计并实现基于FPGA的矩阵运算功能。通过系统地研究FPGA功能结构、设计原理、DSP接口、IEEE-754标准,深入学习浮点数及矩阵的基础运算以及硬件编程语言等内容,根据矩阵运算的特点和原理,讨论了硬件设计方面重点对具体核心器件结构、特点以及有关FPGA的设计流程和控制器Verilog HDL硬件编程语言代码方面内容,确定了基于FPGA浮点运算及矩阵运算单元的Verilog HDL设计方法,在Quartus II平台上对其仿真、记录运算结果,并对采集到的数据结果进行了深入分析与总结。 本设计通过几种矩阵算法利用FPGA和MATLAB分别进行了实现测试,验证了设计结果的正确性,证明了本设计中矩阵运算速率的实用性与高效性,提高了系统资源利用率和系统可靠性,为今后在工程、军事、通讯等生产生活各个领域应用打下良好基础。

    标签: FPGA 矩阵运算 算法研究

    上传时间: 2013-07-07

    上传用户:xuanjie

  • 基于FPGA的GPS接收机基带处理器的研究与设计.rar

    互联网、移动通信、星基导航是21世纪信息社会的三大支柱产业,而GPS系统的技术水平和发展历程代表着全世界卫星导航系统的发展状况。目前,我国已经成为GPS的使用大国,卫星导航产业链也已基本形成。然而,我们对GPS核心技术(即如何捕获卫星信号并保持对信号的跟踪)的研究还不够深入,我国GPS产品的核心部分多数还是靠进口。因此,对GPS核心技术的研究是非常紧迫的。 本文首先介绍了GPS的定位原理,之后阐述了GPS接收机的基本原理一直接扩频通信和GPS信号的结构与特性。从这些方面出发研究接收机基带处理器的捕获与跟踪设计方案。 设计过程中,先详细分析了滑动相关的捕获算法和基于FFT的快速捕获算法,并利用matlab进行了验证。由于前者灵活性好且可捕获到高精度的码相位和载波频率,适合于本文的硬件接收机,所以本文确定了滑动相关的捕获方案。 接着分析了跟踪环路的特点,跟踪模块采用码跟踪环和载波跟踪环耦合的方法实现。由于GPS系统通常工作在非常低的信噪比环境中,而非相干环在低信噪比下环路跟踪性能较好,所以码跟踪环采用非相干(DDLL)环实现。这种跟踪环路采用的鉴相器是能量鉴相器,对数据的调制和载波相位都不敏感,鉴相器不会产生不确定量。由于输入信号存在180°相位翻转,而COSTAS锁相环允许数据调制,对I支路和Q支路信号的180°相位翻转不敏感,所以载波跟踪环采用COSTAS锁相环实现。上述算法在matlab环境下得到了验证。 基带处理器电路的主要模块在Quartus II8.0开发平台上利用VHDL硬件描述语言实现。然后利用EDA仿真工具ModelSim-Altera6.1g进行了逻辑仿真。本设计满足系统功能和性能的要求,可以直接用于实时GPS接收机系统的设计中,为自主设计GPS接收机奠定了基础。 最后,由于在弱电磁环境下,捕获失锁后32PPS信号会丢失。所以设计了一个能授时和守时的算法去得到与GPS时同步的精确授时秒信号。并且实现了这个算法。

    标签: FPGA GPS 接收机

    上传时间: 2013-04-24

    上传用户:zuozuo1215

  • 基于FPGA的模糊PID控制算法的研究及实现.rar

    PID算法自从问世以来,一直受到广泛的关注。随着现代控制理论及智能控制技术的发展,PID算法也得到了长足的发展。结合传统的PID控制算法,针对特定的控制领域,出现了一些新的控制算法,模糊PID控制算法就是在此基础上渐渐形成并凸显其控制特色。 同时随着微电子技术的发展,现场可编程逻辑器件FPGA的发展及其EDA技术的日渐成熟,为集成控制芯片开拓了广阔的发展空间。FPGA的发展为基于硬件的算法模块的实现提供了可能性,同时节省了外围的电路,使算法模块的集成度大大提高。 本文针对当前国内外在算法研究方面的热点问题,对模糊PID算法进行了深入的分析和研究。通过对汽轮机调节系统的结构分析,对其进行了数学建模。采用某汽轮机的实际设计运行参数,利用Matlab仿真软件,对该汽轮机的数学模型进行了甩负荷动态特性仿真。仿真结果表明,模糊PID可以更好地解决汽轮发电机组在甩负荷过程中由于机组转子飞升量太大而导致危急保安装置动作,使得汽轮发电机组意外停机的问题,能够保证汽轮发电机组在意外甩负荷时机组正常的机械运转。根据模糊控制理论的特点及EDA技术和FPGA可编程逻辑器件的发展现状,提出了在FPGA上实现模糊PID算法的具体实现方案。在综合分析算法特性的基础上,选择Altera公司生产的CycloneⅡ系列中的EP2C35F672C6作为目标芯片,利用分层模块化设计思想,在Altera公司提供的QuartusⅡ开发环境中,利用原理图设计输入和VHDL设计输入相结合的方式实现了模糊PID控制算法,同时分别对实现的各个功能模块和整个算法模块进行了功能时序仿真。根据仿真结果分析,该设计实现了的模糊PID控制功能。 该控制算法模块的FPGA实现很好的避免了因CPU或者其它问题导致算法程序跑飞、程序死循环、复位不可靠等问题,提高了控制的可靠性。同时加强了模块的通用性,减少了系统硬件开发周期,节省了外围设备的电路,降低了设计开发成本。

    标签: FPGA PID 模糊

    上传时间: 2013-07-21

    上传用户:thinode

  • 基于FPGA的数字中频收发信机的设计与实现.rar

    软件无线电(Software Defined Radio)是无线通信系统收发信机的发展方向,它使得通信系统的设计者可以将主要精力集中到收发机的数字处理上,而不必过多关注电路实现。在进行数字处理时,常用的方案包括现场可编程门阵列(FPGA)、数字信号处理器(DSP)和专用集成电路(ASIC)。FPGA以其相对较低的功耗和相对较低廉的成本,成为许多通信系统的首先方案。正是在这样的前提下,本课题结合软件无线电技术,研究并实现基于FPGA的数字收发信机。 @@ 本论文主要研究了发射机和接收机的结构和相关的硬件实现问题。首先,从理论上对发射机和接收机结构进行研究,找到收发信机设计中关键问题。其次,在理论上有深刻认识的基础上,以FPGA为手段,将反馈控制算法、反馈补偿算法和前馈补偿算法落实到硬件电路上。同步一直是数字通信系统中的关键问题,它也是本文的研究重点。本文在研究了已有各种同步方法的基础上,设计了一种新的同步方法和相应的接收机结构,并以硬件电路将其实现。最后,针对所设计的硬件系统,本文还进行了充分的硬件系统测试。硬件测试的各项数据结果表明系统设计方案是可行的,基本实现了数字中频收发机系统的设计要求。 @@ 本文中发射机系统是以Altera公司EP2C70F672C6为硬件平台,接收机系统以Altera公司EP2S180F1020C3为硬件平台。收发系统均是在Ouartus Ⅱ 8.0环境下,通过编写Verilog HDL代码和调用Altera IP core加以实现。在将设计方案落实到硬件电路实现之前,各种算法均使用MATLAB进行原理仿真,并在MATLAB仿真得到正确结果的基础上,使用Quartus Ⅱ 8.0中的功能仿真工具和时序仿真工具进行了前仿真和后仿真。所有仿真结果无误后,可下载至硬件平台进行调试,通过Quartus Ⅱ 8.0中集成的SignalTap逻辑分析仪,可以实时观察电路中各点信号的变化情况,并结合示波器和频谱仪,得到硬件测试结果。 @@关键词:SDR;数字收发机;FPGA;载波同步;符号同步

    标签: FPGA 数字中频 收发信机

    上传时间: 2013-04-24

    上传用户:diaorunze

  • GPS接收机捕获跟踪算法研究及FPGA设计.rar

    全球定位系统(Global Positioning System—GPS)是新一代卫星导航定位系统,具有全球、全天候、连续、高精度导航与定位功能,能够为广大用户提供精确的三维坐标、速度和时间信息。因此,GPS系统被广泛地应用于生活中的各个领域。GPS系统用户主要是各种型号的接收机,而捕获跟踪技术是接收机的关键技术,同时也是一个技术难点。在GPS接收机中,导航电文是用户定位和导航的数据基础,为了得到导航电文必须要对GPS信号进行捕获跟踪。本文详细研究了GPS信号捕获跟踪技术,并进行了FPGA设计。 @@ 本文首先概述了GPS系统信号结构和GPS接收机工作原理,对GPS信号调制机理进行详细地阐述,重点分析了C/A码生成原理和特性。 @@ 其次叙述了GPS信号捕获的基础理论,重点研究时域滑动相关捕获方法,深入分析其算法和性能。用MATLAB中Simulink软件包搭建了可自由修改参数的GPS中频发生器,并在此平台上,对GPS信号时域滑动相关捕获算法进行仿真与分析。 @@ 接着重点研究了GPS信号跟踪技术,系统分析码跟踪环路和载波跟踪环路结构框图以及算法。在码跟踪环路方面,选用并分析了能分离载波的非相干超前滞后码锁定环的工作机理。在载波跟踪环路中选用对导航电文数据相位翻转不敏感的科斯塔斯环,并用数学模型分析GPS信号的解调过程。之后对整个跟踪环路进行MATLAB仿真,结果表明环路参数设计满足要求,并能成功解调出GPS导航电文。 @@ 最后本文在QuartusII环境下完成对GPS信号捕获跟踪系统的FPGA设计。根据对相关器硬件结构框架,对算法中各个模块的实现进行详细的说明,包括顶层设计到CA码、NCO等重要模块设计,并给出了仿真结果。 @@关键词:GPS接收机;捕获;跟踪;MATLAB仿真:FPGA

    标签: FPGA GPS 接收机

    上传时间: 2013-06-16

    上传用户:jacking

  • IIR数字滤波器优化设计及FPGA仿真验证.rar

    IIR数字滤波器是冲激响应为无限长的一类数字滤波器,是电子、通信及信号处理领域的重要研究内容,国内外学者对IIR数字滤波器的优化设计进行了大量研究。其中,进化算法优化设计IIR数字滤波器虽然取得了一定的效果,但是其也有自身的一些不足;另外,基于粒子群算法以及人工鱼群算法的IIR数字滤波器优化设计也取得了较好的效果。但这些方法都是将多目标优化问题转化为单目标优化问题,这种方法是将每个目标赋一个权值,然后将这些赋了权值的目标相加,把相加的结果作为目标函数,在此基础上寻找目标函数的最小值,这样做造成的问题是可能将其中的任何一种满足目标函数值最小的情况作为最优解,但实际上得到的不一定是最优解。也就是说,单目标的方法难以区分哪一种情况为最优解,这样的寻优模型从理论上来说是难以得到最优解的。另外,在将多目标转化为单目标时,各个目标的权值难以确定,而且最终只能得到唯一解。针对这些问题,本文在研究传统遗传算法、进化规划算法以及量子遗传算法的IIR数字滤波器优化设计的基础上,将重点研究IIR数字滤波器的粒子进化规划优化、遗传多目标优化以及量子多目标优化。另外,由于在通信系统中IIR数字滤波器有广泛应用,并且大量采用FPGA实现,多目标优化方法得到的滤波器性能也值得验证,因此,对多目标优化方法得到的IIR数字滤波器系数进行FPGA仿真验证有重要的现实意义。 @@ 论文的主要工作及研究成果具体如下: @@ 1.分析IIR数字滤波器的数学模型及其优化设计的参数;针对低通IIR数字滤波器,采用遗传算法及量子遗传算法对其进行优化设计,并给出相应的仿真结果及分析。 @@ 2.针对使用进化规划算法优化设计IIR数字滤波器时容易陷入局部极值的问题,研究粒子进化规划算法,并将其应用于IIR数字滤波器的优化设计,该算法将粒子群优化算法与进化规划算法相结合,继承了粒子群算法局部搜索能力强和进化规划算法遗传父代优良基因能力强的优点。将这种新的粒子进化规划算法应用于IIR低通、高通、带通、带阻数字滤波器的优化设计,显示了较好的效果。 @@ 3.优化设计IIR数字滤波器时,通常将多目标转化为单目标的优化问题,这种方法虽然设计简单,但是在将多目标转化为单目标时,各个目标的权值难以确定,而且最终只能得到唯一解,不能提供更多的有效解给决策者。针对常 用基于单目标优化算法的不足,在分析IIR数字滤波器优化模型和待优化参数的基础上,本文研究遗传算法的IIR数字滤波器多目标优化设计方法,该方法将多个目标值直接映射到适应度函数中,通过比较函数值的占优关系来搜索问题的有效解集,使用这种方法可以求得一组有效解,并且将多目标转化为单目标的优化方法得到的唯一解也能被包括在这一组有效解中。@@ 4.将量子遗传算法应用于IIR数字滤波器多目标优化设计,研究量子遗传算法的IIR数字滤波器多目标优化设计方法,并将优化结果与传统遗传算法的多目标优化方法进行了比较。仿真结果表明,在对同一种滤波器进行优化设计时,使用该方法得到的结果通带波动更小,过渡带更窄,阻带衰减也更大。 @@ 5.针对IIR数字滤波器的硬件实现问题,在对IIR数字滤波器的结构特征进行分析的基础上,分别采用遗传多目标优化方法量子多目标方法优化设计IIR数字滤波器的系数,然后针对两组系数进行了FPGA( Field-Programmable GateArray,现场可编程门阵列)仿真验证,并对两种结果进行了对比分析。 @@关键词:IIR数字滤波器;优化设计

    标签: FPGA IIR 数字滤波器

    上传时间: 2013-06-09

    上传用户:熊少锋

  • 基于FPGA的Viterbi译码器设计与实现.rar

    卷积码是广泛应用于卫星通信、无线通信等多种通信系统的信道编码方式。Viterbi算法是卷积码的最大似然译码算法,该算法译码性能好、速度快,并且硬件实现结构比较简单,是最佳的卷积码译码算法。随着可编程逻辑技术的不断发展,使用FPGA实现Viterbi译码器的设计方法逐渐成为主流。不同通信系统所选用的卷积码不同,因此设计可重配置的Viterbi译码器,使其能够满足多种通信系统的应用需求,具有很重要的现实意义。 本文设计了基于FPGA的高速Viterbi译码器。在对Viterbi译码算法深入研究的基础上,重点研究了Viterbi译码器核心组成模块的电路实现算法。本设计中分支度量计算模块采用只计算可能的分支度量值的方法,节省了资源;加比选模块使用全并行结构保证处理速度;幸存路径管理模块使用3指针偶算法的流水线结构,大大提高了译码速度。在Xilinx ISE8.2i环境下,用VHDL硬件描述语言编写程序,实现(2,1,7)卷积码的Viterbi译码器。在(2,1,7)卷积码译码器基础上,扩展了Viterbi译码器的通用性,使其能够对不同的卷积码译码。译码器根据不同的工作模式,可以对(2,1,7)、(2,1,9)、(3,1,7)和(3,1,9)四种广泛运用的卷积码译码,并且可以修改译码深度等改变译码器性能的参数。 本文用Simulink搭建编译码系统的通信链路,生成测试Viterbi译码器所需的软判决输入。使用ModelSim SE6.0对各种模式的译码器进行全面仿真验证,Xilinx ISE8.2i时序分析报告表明译码器布局布线后最高译码速度可达200MHz。在FPGA和DSP组成的硬件平台上进一步测试译码器,译码器运行稳定可靠。最后,使用Simulink产生的数据对本文设计的Viterbi译码器的译码性能进行了分析,仿真结果表明,在同等条件下,本文设计的Viterbi译码器与Simulink中的Viterbi译码器模块的译码性能相当。

    标签: Viterbi FPGA 译码器

    上传时间: 2013-06-24

    上传用户:myworkpost

  • FPGA内全数字延时锁相环的设计.rar

    现场可编程门阵列(FPGA)的发展已经有二十多年,从最初的1200门发展到了目前数百万门至上千万门的单片FPGA芯片。现在,FPGA已广泛地应用于通信、消费类电子和车用电子类等领域,但国内市场基本上是国外品牌的天下。 在高密度FPGA中,芯片上时钟分布质量变的越来越重要,时钟延迟和时钟偏差已成为影响系统性能的重要因素。目前,为了消除FPGA芯片内的时钟延迟,减小时钟偏差,主要有利用延时锁相环(DLL)和锁相环(PLL)两种方法,而其各自又分为数字设计和模拟设计。虽然用模拟的方法实现的DLL所占用的芯片面积更小,输出时钟的精度更高,但从功耗、锁定时间、设计难易程度以及可复用性等多方面考虑,我们更愿意采用数字的方法来实现。 本论文是以Xilinx公司Virtex-E系列FPGA为研究基础,对全数字延时锁相环(DLL)电路进行分析研究和设计,在此基础上设计出具有自主知识产权的模块电路。 本文作者在一年多的时间里,从对电路整体功能分析、逻辑电路设计、晶体管级电路设计和仿真以及最后对设计好的电路仿真分析、电路的优化等做了大量的工作,通过比较DLL与PLL、数字DLL与模拟DLL,深入的分析了全数字DLL模块电路组成结构和工作原理,设计出了符合指标要求的全数字DLL模块电路,为开发自我知识产权的FPGA奠定了坚实的基础。 本文先简要介绍FPGA及其时钟管理技术的发展,然后深入分析对比了DLL和PLL两种时钟管理方法的优劣。接着详细论述了DLL模块及各部分电路的工作原理和电路的设计考虑,给出了全数字DLL整体架构设计。最后对DLL整体电路进行整体仿真分析,验证电路功能,得出应用参数。在设计中,用Verilog-XL对部分电路进行数字仿真,Spectre对进行部分电路的模拟仿真,而电路的整体仿真工具是HSIM。 本设计采用TSMC0.18μmCMOS工艺库建模,设计出的DLL工作频率范围从25MHz到400MHz,工作电压为1.8V,工作温度为-55℃~125℃,最大抖动时间为28ps,在输入100MHz时钟时的功耗为200MW,达到了国外同类产品的相应指标。最后完成了输出电路设计,可以实现时钟占空比调节,2倍频,以及1.5、2、2.5、3、4、5、8、16时钟分频等时钟频率合成功能。

    标签: FPGA 全数字 延时

    上传时间: 2013-06-10

    上传用户:yd19890720

  • 基于FPGA的DDC在频谱仪中的设计.rar

    软件无线电思想的出现带来了接收机实现方式的革新。随着近年来软件无线电理论和应用趋于成熟与完善,软件无线电技术已经被越来越广泛地应用于无线通信系统和电子测量测试仪器中。数字下变频技术作为软件无线电的核心技术之一,在频谱分析仪中也得到了越来越普遍的应用。 本人参与的手持式频谱分析仪项目采用的是中频数字化实现方式,可满足轻巧,可重配置和低功耗的需求。数字化中频的关键部件数字下变频器DDC采用的是Intersil公司的ISL5216,这个器件和高性能FPGA共同组成手持频谱仪的数字信号处理前端。这个数字前端就手持频谱分析仪来说存在一定的局限性,ISL5216的信号处理带宽单通道为1 MHz,4个通道级联为3MHz,未能满足谱仪分析带宽日益增加的需求;系统集成度不高,ISL5216的功能要是集成到FPGA,可进一步提高系统集成度,降低物料成本和系统功耗。基于以上两个方面的考虑,现正以手持频谱分析仪项目为依托,基于Xilinx Spartan3A-DSP系列FPGA实现高速高处理带宽的DDC。 本论文首先描述了数字下变频基本理论和结构,对完成各级数字信号处理所涉及的数字正交变换、CORDIC算法、CIC、HB、多相滤波等关键算法做了适当介绍;然后介绍了当前主流FPGA的数字信号处理特性和其内部的DSP资源。接着详细描述了数控振荡器NCO、复数数字混频器MIXER、5级CIC滤波器、5级HB滤波器和255阶可编程FIR的设计和实现,并对各个模块的不同实现方式作了对比和仿真测试数据作了分析。最后介绍了所设计DDC在手持频谱分析仪中的主要应用。

    标签: FPGA DDC 频谱仪

    上传时间: 2013-04-24

    上传用户:a155166