虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

全相位谱分析

  • 基于FPGA的π4DQPSK全数字中频发射机和接收机的实现.rar

    本文以电子不停车收费系统课题为背景,设计并实现了基于FPGA的π/4-DOPSK全数字中频发射机和接收机。π/4-DQPSK广泛应用于移动通信和卫星通信中,具有频带利用率高、频谱特性好、抗衰落性能强的特点。 近年来现场可编程门阵列(FPGA)器件在芯片逻辑规模和处理速度等方面性能的迅速提高,用硬件编程实现无线功能的软件无线电技术在理论和实用化上都趋于成熟和完善,因此可以把数字调制,数字上/下变频,数字解调在同一块FPGA上实现,即实现了中频发射机和接收机一体化的片上可编程系统(SOPC,System On Programmabie Chip)。 本文首先根据指标要求对数字收发机方案进行设计,确定了适合不停车收费系统的全数字发射机和接收机的结构,接着根据π/4-DQPSK发射机和接收机的理论,设计并实现了基于FPGA的成形滤波器SRRC、半带滤波器HB和定时算法并给出性能分析,最后给出硬件测试平台上结果和测试结果分析。

    标签: 4DQPSK FPGA 全数字

    上传时间: 2013-06-23

    上传用户:chuckbassboy

  • 基于FPGA的DDS信号源的设计.rar

    频率合成技术广泛应用于通信、航空航天、仪器仪表等领域,目前,常用的频率合成技术有直接频率合成、锁相频率合成和直接数字频率合成(DDS)等。其中DDS是一种新的频率合成方法,是频率合成的一次革命。全数字化的DDS技术由于具有频率分辨率高、频率切换速度快、相位噪声低和频率稳定度高等优点而成为现代频率合成技术中的佼佼者。随着数字集成电路、微电子技术和EDA技术的深入研究,DDS技术得到了飞速的发展。 DDS是把一系列数字量化形式的信号通过D/A转换形成模拟量形式的信号的合成技术。主要是利用高速存储器作查寻表,然后通过高速D/A转换产生已经用数字形式存入的正弦波(或其它任意波形)。一个典型的DDS系统应包括以下三个部分:相位累加器可以时钟的控制下完成相位的累加;相位一幅度码转换电路一般由ROM实现;D/A转换电路,将数字形式的幅度码转换成模拟信号。 现场可编程门阵列(FPGA)设计灵活、速度快,在数字专用集成电路的设计中得到了广泛的应用。本论文主要讨论了如何利用FPGA来实现一个DDS系统,该DDS系统的硬件结构是以FPGA为核心实现的,使用Altera公司的Cyclone系列FPGA。 文章首先介绍了频率合成器的发展,阐述了基于FPGA实现DDS技术的意义;然后介绍了DDS的基本理论;接着介绍了FPGA的基础知识如结构特点、开发流程、使用工具等;随后介绍了利用FPGA实现直接数字频率合成(DDS)的原理、电路结构、优化方法等。重点介绍DDS技术在FPGA中的实现方法,给出了部分VHDL源程序。采用该方法设计的DDS系统可以很容易地嵌入到其他系统中而不用外接专用DDS芯片,具有高性能、高性价比,电路结构简单等特点;接着对输出信号频谱进行了分析,特别是对信号的相位截断误差和幅度量化误差进行了详细的讨论,由此得出了改善系统性能的几种方法;最后给出硬件实物照片和测试结果,并对此作了一定的分析。

    标签: FPGA DDS 信号源

    上传时间: 2013-07-05

    上传用户:suxuan110425

  • 全并行Viterbi译码器的FPGA实现

      本文对于全并行Viterbi译码器的设计及其FPGA实现方案进行了研究,并最终将用FPGA实现的译码器嵌入到某数字通信系统之中。  首先介绍了卷积码及Viterbi译码算法的基本原理,并对卷积码的纠错性能进行了理论分析。接着介绍了Viterbi译码器各个模块实现的一些经典算法,对这些算法的硬件结构设计进行优化并利用FPGA实现,而后在QuartusⅡ平台上对各模块的实现进行仿真以及在Matlab平台上对结果进行验证。最后给出Viterbi译码模块应用在实际系统上的误码率测试性能结果。  测试结果表明,系统的误码率达到了工程标准的要求,从而验证了译码器设计的可靠性,同时所设计的基于FPGA实现的全并行Viterbi译码器适用于高速数据传输的应用场合。

    标签: Viterbi FPGA 并行 译码器

    上传时间: 2013-07-30

    上传用户:13913148949

  • FPGA在相位激光测距信号处理技术中的应用

    本文简单介绍了脉冲式激光测距原理、相位式激光测距的原理及相位测量技术。根据课题的要求,给出了电路系统设计方案,选择了合适测相系统电路参数,分析了调制波的噪声对系统的影响,计算出能满足系统精度要求的最低信噪比,对偶然误差、信号变化幅度大小、零点漂移和电路的相位延迟等原因引起的测量误差,提出了具体的解决措施,这些措施提高了数字检相电路的测相精度和稳定性。  根据电路系统设计方案,着重对混频电路、整形电路和自动数字检相电路进行了较为深入的分析与讨论,其中自动数字检相电路采用大规模可编程逻辑器件FPGA实现。  文中述叙了利用FPGA实现自动数字检相的原理及方法步骤,分析了FPGA实现鉴相功能的可靠性。根据设计要求,选择合适的FPGA逻辑器件和配置器件,使用QuartusⅡ软件开发可编程逻辑器件及VHDL编程,给出了用QuartusⅡ软件进行数字检相测量的系统仿真结果和混频电路、比较电路、数字检相电路的实验结果,对在没有零角度位置标志信号和没有允许计数标志信号条件下的实验结果的精度进行了分析。根据误差结果分析,提出了下一步研究改进的措施和思路。  

    标签: FPGA 相位 激光测距 信号处理技术

    上传时间: 2013-04-24

    上传用户:yare

  • 基于FPGA的逆变器控制芯片研究

    逆变控制器的发展经历从分立元件的模拟电路到以专用微处理芯片(DSP/MCU)为核心的电路系统,并从数模混合电路过渡到纯数字控制的历程。但是,通用微处理芯片是为一般目的而设计,存在一定局限。为此,近几年来逆变器专用控制芯片(ASIC)实现技术的研究越来越受到关注,已成为逆变控制器发展的新方向之一。本文利用一个成熟的单相电压型PWM逆变器控制模型,围绕逆变器专用控制芯片ASIC的实现技术,依次对专用芯片的系统功能划分,硬件算法,全系统的硬件设计及优化,流水线操作和并行化,芯片运行稳定性等问题进行了初步研究。首先引述了单相电压型PWM逆变器连续时间和离散时间的数学模型,以及基于极点配置的单相电压型PWM逆变器电流内环电压外环双闭环控制系统的设计过程,同时给出了仿真结果,仿真表明此系统具有很好的动、静态性能,并且具有自动限流功能,提高了系统的可靠性。紧接着分析了FPGA器件的特征和结构。在给出本芯片应用目标的基础上,制定了FPGA目标器件的选择原则和芯片的技术规格,完成了器件选型及相关的开发环境和工具的选取。然后系统阐述了复杂FPGA设计的设计方法学,详细介绍了基于FPGA的ASIC设计流程,概要介绍了仅使用QuartusII的开发流程,以及Modelsim、SynplifyPro、QuartusII结合使用的开发流程。在此基础上,进行了芯片系统功能划分,针对:DDS标准正弦波发生器,电压电流双环控制算法单元,硬件PI算法单元,SPWM产生器,三角波发生器,死区控制器,数据流/控制流模块等逆变器控制硬件算法/控制单元,研究了它们的硬件算法,完成了模块化设计。分析了全数字锁相环的结构和模型,以此为基础,设计了一种应用于逆变器的,用比例积分方法替代传统锁相系统中的环路滤波,用相位累加器实现数控振荡器(DCO)功能的高精度二阶全数字锁相环(DPLL)。分析了“流水线操作”等设计优化问题,并针对逆变器控制系统中,控制系统算法呈多层结构,且层与层之间还有数据流联系,其执行顺序和数据流的走向较为复杂,不利于直接采用流水线技术进行设计的特点,提出一种全新的“分层多级流水线”设计技术,有效地解决了复杂控制系统的流水线优化设计问题。本文最后对芯片运行稳定性等问题进行了初步研究。指出了设计中的“竞争冒险”和饱受困扰之苦的“亚稳态”问题,分析了产生机理,并给出了常用的解决措施。

    标签: FPGA 逆变器 控制芯片

    上传时间: 2013-05-28

    上传用户:ice_qi

  • 基于FPGA的数字化通用PWM控制器设计

    如今电力电子电路的控制旨在实现高频开关的计算机控制,并向着更高频率、更低损耗和全数字化的方向发展。现场可编程门阵列器件(FieldProgrammableGateArrays)是近年来崭露头角的一类新型集成电路,它具有简洁、经济、高速度、低功耗等优势,又具有全集成化、适用性强,便于开发和维护(升级)等显著优点。与单片机和DSP相比,FPGA的频率更高、速度更快,这些特点顺应了电力电子电路的日趋高频化和复杂化发展的需要。因此,在越来越多的领域中FPGA得到了日益广泛的发展和应用。  本文提出了一种采用现场可编程门阵列(FPGA)器件实现数字化通用PWM控制器的方案。该控制器能产生多路PWM脉冲,具有开关频率可调、各路脉冲间的相位可调、接口简单、响应速度快、易修改、可现场编程等特点,可应用于PWM的全数字化控制。文中对方案的实现进行了比较详细的论述,包括A/D采样控制、PI算法的实现、PWM波形的产生、各模块的工作原理等。  本文还提出一种新型ZCT-PWMBoost变换器,详细的分析了该变换器的工作过程,并采用基于FPGA的数字化通用PWM控制器对这种软开关Boost变换器进行控制,给出了比较完满的实验结果。实验结果验证了该控制器以及该ZCTBoost变换器的可行性和有效性,

    标签: FPGA PWM 数字化 制器设计

    上传时间: 2013-06-22

    上传用户:yph853211

  • 基于FPGA的数字相位计的研究与实现

    本文结合工程需要详细论述了一种数字相位计的实现方法,该方法是基于FPGA(现场可编程门阵列)芯片运用FFT(快速傅立叶变换)算法完成的。首先,从相位测量的原理出发,分析了传统相位计的缺点,给出了一种高可靠性的相位检测实用算法,其算法核心是对采集信号进行FFT变换,通过频谱分析,实现对参考信号和测量信号初相位的检测,并同时阐述了FPGA在实现数字相位计核心FFT算法中的优势。在优化的硬件结构中,利用多个乘法器并行运算的方式加快了蝶形运算单元的运算速度;内置双端口RAM、旋转因子ROM使数据存储的速度得到提高;采用了流水线的工作方式使数据的存储、运算在时间上达到匹配。整个设计采用VHDL(超高速硬件描述语言)语言作为系统内部硬件结构的描述手段,在Altera的QuartusⅡ软件支持下完成。仿真结果表明,基于FPGA实现的FFT算法无论在速度和精度上都满足了相位测量的需要,其运算64点数据仅需27.5us,最大误差在1%之内。

    标签: FPGA 数字 相位计

    上传时间: 2013-06-04

    上传用户:lgnf

  • FPGA在相位激光测距信号处理技术中的应用

    本文简单介绍了脉冲式激光测距原理、相位式激光测距的原理及相位测量技术。根据课题的要求,给出了电路系统设计方案,选择了合适测相系统电路参数,分析了调制波的噪声对系统的影响,计算出能满足系统精度要求的最低信噪比,对偶然误差、信号变化幅度大小、零点漂移和电路的相位延迟等原因引起的测量误差,提出了具体的解决措施,这些措施提高了数字检相电路的测相精度和稳定性。  根据电路系统设计方案,着重对混频电路、整形电路和自动数字检相电路进行了较为深入的分析与讨论,其中自动数字检相电路采用大规模可编程逻辑器件FPGA实现。  文中述叙了利用FPGA实现自动数字检相的原理及方法步骤,分析了FPGA实现鉴相功能的可靠性。根据设计要求,选择合适的FPGA逻辑器件和配置器件,使用QuartusⅡ软件开发可编程逻辑器件及VHDL编程,给出了用QuartusⅡ软件进行数字检相测量的系统仿真结果和混频电路、比较电路、数字检相电路的实验结果,对在没有零角度位置标志信号和没有允许计数标志信号条件下的实验结果的精度进行了分析。根据误差结果分析,提出了下一步研究改进的措施和思路。  

    标签: FPGA 相位 激光测距 信号处理技术

    上传时间: 2013-07-25

    上传用户:天涯

  • 基于ARM的全数字B型超声诊断仪的设计与研究

    超声理论与技术的快速发展,使超声设备不断更新,超声检查已成为预测和评价疾病及其治疗结果不可缺少的重要方法。超声诊断技术不仅具有安全、方便、无损、廉价等优点,其优越性还在于它选用诊断参数的多样性及其在工程上实现的灵活性。 全数字B超诊断仪基于嵌入式ARM9+FPGA硬件平台、LINUX嵌入式操作系统,是一种新型的、操作方便的、技术含量高的机型。它具有现有黑白B超的基本功能,能够对超声回波数据进行灵活的处理,从而使操作更加方便,图象质量进一步提高,并为远程医疗、图像存储、拷贝等打下基础,是一种很有发展前景、未来市场的主打产品。全数字B型超声诊断仪的基本技术特点是用数字硬件电路来实现数据量极其庞大的超声信息的实时处理,它的实现主要倚重于FPGA技术。现在FPGA已经成为多种数字信号处理(DSP)应用的强有力解决方案。硬件和软件设计者可以利用可编程逻辑开发各种DSP应用解决方案。可编程解决方案可以更好地适应快速变化的标准、协议和性能需求。 本论文首先阐述了医疗仪器发展现状和嵌入式计算机体系结构及发展状况,提出了课题研究内容和目标。然后从B超诊断原理及全数字B超诊断仪设计入手深入分析了B型超声诊断仪的系统的硬件体系机构。对系统的总体框架和ARM模块设计做了描述后,接着分析了超声信号进行数字化处理的各个子模块、可编程逻辑器件的结构特点、编程原理、设计流程以及ARM处理模块和FPGA模块的主要通讯接口。接着,本论文介绍了基于ARM9硬件平台的LINUX嵌入式操作系统的移植和设备驱动的开发,详细描述了B型超声诊断仪的软件环境的架构及其设备驱动的详细设计。最后对整个系统的功能和特点进行了总结和展望。

    标签: ARM 全数字 仪的设计 超声诊断

    上传时间: 2013-05-28

    上传用户:sssnaxie

  • 基于MATLAB的语音信号LPC技术分析研究

    线性预测技术作为一种基于全极点模型假定和均方预测误差最小准则下的波形逼近技术。本文简要介绍了LPC 技术的基本原理,并利用MATLAB 这一有力工具对语音信号进行了LPC 分析,并对阶数的选取

    标签: MATLAB LPC 语音信号 技术分析

    上传时间: 2013-05-26

    上传用户:博雅abcd