虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

充分利用

  • 智能人脸识别算法及其FPGA的实现.rar

    人脸自动识别技术是模式识别、图像处理等学科的一个最热门研究课题之一。随着社会的发展,各方面对快速有效的自动身份验证的要求日益迫切,而人脸识别技术作为各种生物识别技术中最重要的方法之一,已经越来越多的受到重视。对于具有实时,快捷,低误识率的高性能算法以及对算法硬件加速的研究也逐渐展开。 本文详细分析了智能人脸识别算法原理,发展概况和前景,包括人脸检测算法,人眼定位算法,预处理算法,PCA和ICA 算法,详细分析了项目情况,系统划分,软硬件平台的资源和使用。并在ISE软件平台上,用硬件描述语言(verilog HDL)对算法部分严格按照FPGA代码风格进行了RTL 硬件建模,并对C++算法进行了优化处理,通过仿真与软件算法结果进行比对,评估误差,最后在VirtexII Pro FPGA 上进行了综合实现。 主要研究内容如下: 首先,对硬件平台xilinx的VirtexII Pro FPGA 上的系统资源进行了描述和研究,对存储器sdram,RS-232 串口,JTAG 进行了研究和调试,对Coreconnect的OPB总线仲裁机理进行了两种算法的比较,RTL 设计,仿真和综合。利用ISE和VC++软件平台,对verilog和C++算法进行同步比较测试,使每步算法对应正确的结果。对软硬件平台的合理使用使得在项目中能尽可能多的充分利用硬件资源,制板时正确选型,以及加快设计和调试进度。其次,对人脸识别算法流程中的人脸检测,人眼定位,预处理,识别算法分别进行了比较研究,选取其中各自性能最好的一种算法对其原理进行了分析讨论。人脸检测采用adaboost 算法,因其速度和精度的综合性能表现优异。人眼定位采用小块合并算法,因为它具有快速,准确,弱时实的特点。预处理算法采用直方图均衡加平滑的算法,简单,高效。 识别算法采用PCA 加ICA 算法,它能最大的弱化姿态和光照对人脸识别的影响。 最后,使用Verilog HDL 硬件描述语言进行算法的RTL 建模,在C++算法的基础上,保证原来效果的前提下,根据FPGA 硬件特点对算法进行了优化。视频输入输出是人脸识别的前提,它提供FPGA 上算法需要处理的数据,预处理算法在C++算法的基础上进行了优化,最大的减少了运算量,提高了运算速度,16 位计算器模块使得在算法实现时可以根据系统要求,在FPGA的ip 核和自己设计的模块之间选择性能更好的一个来调用,FIFO的设计提供同步和异步时钟域的数据缓存。设计在ISE和VC++软件平台同时进行,随时对verilog和C++数据进行监测和比对。全部设计模块通过仿真,达到预定的性能要求,并在FPGA 上综合实现。

    标签: FPGA 人脸识别 算法

    上传时间: 2013-07-13

    上传用户:李梦晗

  • 基于FPGA的DDS双通道波形发生器.rar

    直接数字频率合成(DDS)是七十年代初提出的一种新的频率合成技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速的发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提供了一种全新的设计模式。本论文结合这两项技术,并利用单片机控制灵活的特点,开发了一种双通道波形发生器。在实现过程中,选用了Altera公司的EP1C6Q240C8芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用ATMAL的AT89C51单片机作为控制芯片。本设计中,FPGA芯片的设计和与控制芯片的接口设计是一个难点,本文利用Altera的设计工具Quartus Ⅱ并结合Verilog-HDL语言,采用硬件编程的方法很好地解决了这一问题。 本文首先介绍了波形发生器的研究背景和DDS的理论。然后详尽地叙述了用EP1C6Q240C8完成DDS模块的设计过程,这是设计的基础。接着分析了整个设计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。然后就这三个部分分别详细地进行了阐述。并且通过系列实验,详细地分析了该波形发生器的功能、性能、实现和实验结果。最后,结合在设计中的一些心得体会,提出了本设计中的一些不足和改进意见。通过实验说明,本设计达到了预定的要求,并证明了采用软硬件结合,利用FPGA实现基于DDS架构的双路波形发生器是可行的。

    标签: FPGA DDS 双通道

    上传时间: 2013-06-09

    上传用户:wxhwjf

  • 视频图像采集和预处理系统的FPGA实现.rar

    本文研究的视频处理系统是上海市科委技术攻关基金项目“计算机视觉及其芯片化实现”的一部分,主要完成计算机视觉系统的一些基本工作,即视频图像的采集、预处理和显示等。 视频图像采集和预处理系统以Xilinx公司Virtex-ⅡPro系列的FPGA为核心控制器件,结合视频模数转换芯片和VGA显示器,完成视频图像的实时采集、预处理和显示。采集和显示部分作为同外界交流信息的渠道,是构成计算机视觉系统必不可少的一部分;图像预处理则是计算机视觉系统进行高层处理的基础,优秀的预处理算法能有效改善图像质量,提高系统分析判断的准确性。 本文在介绍基于FPGA的视频采集、预处理系统整体架构的基础上,围绕以下四个方面展开了工作: 1.研究并给出了两种基于FPGA的设计方案用于实现YCrCb色度空间到RGB色度空间的转换; 2.针对采集的视频图像,根据VGA显示的要求,给出了一种实现图像去隔行的方案; 3.分析了一系列图像滤波的预处理算法,如均值滤波、中值滤波和自适应滤波等,在比较和总结各算法特点的基础上,提出了一种新的适用于处理混合噪声的滤波算法:混合自适应滤波法; 4.根据算法特点设计了多种采用FPGA实现的图像滤波算法,并对硬件算法进行RTL级的功能仿真和验证,还给出了各种滤波算法的实验结果,在此基础上对各种算法的效果进行直观的比较。 文中,预处理算法的实现充分利用了FPGA的片内资源,体现了FPGA在图像处理方面的特点及优势。同时,视频采集和显示的控制模块也由同一FPGA芯片实现,从而简化了系统整体结构。视频采集和预处理系统在FPGA上的成功实现为“计算机视觉及其芯片化实现”奠定了必要的基础、提供了一定理论依据。

    标签: FPGA 视频图像

    上传时间: 2013-07-26

    上传用户:alia

  • 基于FPGA和DSP的车牌识别系统的硬件设计与实现.rar

    随着交通工具的迅猛发展,智能交通系统(Intelligent TransportationSystems,简称ITS)在交通管理中受到广泛的关注。而在ITS中,车牌识别(LicensePlate Recognition,简称LPR)是其核心技术。车牌识别系统主要由数据采集和车牌识别算法两个部分组成。由于车牌清晰程度、摄像机性能、气候条件等因素的影响,牌照中的字符可能出现不清楚、扭曲、缺损或污迹干扰,这都给识别造成一定难度。因此,在复杂背景中快速准确地进行车牌定位成为车牌识别系统的难点。 本文研究和设计了一种集图象采集,图象识别,图象传输等于一体的实时嵌入式系统。该平台包括硬件系统设计与应用程序开发两个方面,充分利用TI公司的C6000系列DSP强大的并行运算能力、以及FPGA的灵活时序逻辑控制技术,从硬件方面实现系统的高速运行。 本文的主要工作有两部分组成,具体如下: (1) 在硬件设计方面:实现由A/D、电源、FPGA、DSP以及SDRAM和FLASH所组成的车牌识别系统;设计并完成系统的原理图和印制板图;完成电路板调试,以及完成FPGA.在高速图像采集中的veriIog应用程序开发。 (2) 在软件开发方面:完成Philips公司的SAA7113H的配置代码开发,以及DSP底层的部分驱动程序开发。 该系统能够实现25帧每秒的数字视频流图像数据的输出,并由FPGA负责完成一幅720×572数据量的图像采集。DSP负责系统的嵌入式操作,包括系统的控制和车牌识别算法的实现。 目前,嵌入式车牌识别系统硬件平台已经搭建成功,系统软件代码程序也已经开发完成。本系统能够实现高速图像采集、嵌入式操作与车牌识别算法、UART数据通信等功能,具有速度快、稳定性高、体积小、功耗低等特点,为车牌识别算法提供一个较好的验证平台。

    标签: FPGA DSP 车牌识别系统

    上传时间: 2013-04-24

    上传用户:yangbo69

  • 基于FPGA的智能小车系统.rar

    基于FPGA的智能小车系统就是本地计算机通过接入Internet小车实现对远端工作现场、危险工作地段等特殊环境进行监视和控制的系统。智能小车是智能行走机器人的一种,这种智能小车可以适应不同环境,不受温度、湿度、空间、磁场辐射、重力等条件的影响,可以在人类无法进入或生存的环境中完成人类无法完成的探测任务。适用于国防及民用多个领域。整个系统以遥控小车装置为基础,通过配置在上面的摄像头实现图像的采集及对行车道的检测,通过配置的红外测温仪探测环境和目标的温度,具有一定的智能性。其明显的优点是可以通过网络远程控制小车运行及采集现场的温度、图像等相关信息,完成人类在特定条件下无法完成的工作。对人类的科学研究、探索未知领域、远程监控等有着重要的意义。 论文在深入研究SOPC和嵌入式操作系统的基础上,提出了基于FPGA的智能小车远程监控方案。采用FPGA来实现,可以充分利用现有的IP核,功能扩展容易,设计开发成本低,上市时间快,修改方便,甚至可以远程重构系统。与单片机相比,集成度高,可靠性好,调试和维护方便。 论文主要内容包括以下几个部分:在对智能小车功能分析的基础上,设计了硬件系统,并在FPGA上构建了基于Nios Ⅱ的嵌入式系统,配置了SPI、串行口和以太网接口模块和驱动程序,以及各种存储器。移植了μClinux操作系统,配置嵌入式Web服务器,编写CGI程序,设计了动态网页;并对行车道检测系统进行了研究,在DSP Builder中构建了该模块,并在Matlab中进行了仿真。在研究数码相机模块和红外测温模块的基础上,编写了图像采集和温度测量程序以及小车运动控制程序,并对系统进行了调试,初步达到通过Internet实现远程监控的目的。

    标签: FPGA 智能小车

    上传时间: 2013-05-24

    上传用户:1047385479

  • 基于FPGA的海事卫星突发信号位同步检测研究及实现.rar

    码元定时恢复(位同步)技术是数字通信中的关键技术。位同步信号本身的抖动、错位会直接降低通信设备的抗干扰性能,使误码率上升,甚至会使传输遭到完全破坏。尤其对于突发传输系统,快速、精确的定时同步算法是近年来研究的一个焦点。本文就是以Inmarsat GES/AES数据接收系统为背景,研究了突发通信传输模式下的全数字接收机中位同步方法,并予以实现。 本文系统地论述了位同步原理,在此基础上着重研究了位同步的系统结构、码元定时恢复算法以及衡量系统性能的各项指标,为后续工作奠定了基础。 首先根据卫星系统突发信道传输的特点分析了传统位同步方法在突发系统中的不足,接下来对Inmarsat系统的短突发R信道和长突发T信道的调制方式和帧结构做了细致的分析,并在Agilent ADS中进行了仿真。 在此基础上提出了一种充分利用报头前导比特信息的,由滑动平均、阈值判断和累加求极值组成的快速报头时钟捕获方法,此方法可快速精准地完成短突发形式下的位同步,并在FPGA上予以实现,效果良好。 在长突发形式下的报头时钟捕获后还需要对后续数据进行位同步跟踪,在跟踪过程中本论文首先用DSP Builder实现了插值环路的位同步算法,进行了Matlab仿真和FPGA实现。并在插值环路的基础上做出改进,提出了一种新的高效的基于移位算法的位同步方案并予以FPGA实现。最后将移位算法与插值算法进行了性能比较,证明该算法更适合于本项目中Inmarsat的长突发信道位同步跟踪。 论文对两个突发信道的位同步系统进行了理论研究、算法设计以及硬件实现的全过程,满足系统要求。

    标签: FPGA 海事卫星 信号

    上传时间: 2013-04-24

    上传用户:yare

  • 基于FPGA的精确时钟同步方法研究.rar

    在工业控制领域,多种现场总线标准共存的局面从客观上促进了工业以太网技术的迅速发展,国际上已经出现了HSE、Profinet、Modbus TCP/IP、Ethernet/IP、Ethernet Powerlink、EtherCAT等多种工业以太网协议。将传统的商用以太网应用于工业控制系统的现场设备层的最大障碍是以太网的非实时性,而实现现场设备间的高精度时钟同步是保证以太网高实时性的前提和基础。 IEEE 1588定义了一个能够在测量和控制系统中实现高精度时钟同步的协议——精确时间协议(Precision Time Protocol)。PTP协议集成了网络通讯、局部计算和分布式对象等多项技术,适用于所有通过支持多播的局域网进行通讯的分布式系统,特别适合于以太网,但不局限于以太网。PTP协议能够使异质系统中各类不同精确度、分辨率和稳定性的时钟同步起来,占用最少的网络和局部计算资源,在最好情况下能达到系统级的亚微级的同步精度。 基于PC机软件的时钟同步方法,如NTP协议,由于其实现机理的限制,其同步精度最好只能达到毫秒级;基于嵌入式软件的时钟同步方法,将时钟同步模块放在操作系统的驱动层,其同步精度能够达到微秒级。现场设备间微秒级的同步精度虽然已经能满足大多数工业控制系统对设备时钟同步的要求,但是对于运动控制等需求高精度定时的系统来说,这仍然不够。基于嵌入式软件的时钟同步方法受限于操作系统中断响应延迟时间不一致、晶振频率漂移等因素,很难达到亚微秒级的同步精度。 本文设计并实现了一种基于FPGA的时钟同步方法,以IEEE 1588作为时钟同步协议,以Ethernet作为底层通讯网络,以嵌入式软件形式实现TCP/IP通讯,以数字电路形式实现时钟同步模块。这种方法充分利用了FPGA的特点,通过准确捕获报文时间戳和动态补偿晶振频率漂移等手段,相对于嵌入式软件时钟同步方法实现了更高精度的时钟同步,并通过实验验证了在以集线器互连的10Mbps以太网上能够达到亚微秒级的同步精度。

    标签: FPGA 时钟同步 方法研究

    上传时间: 2013-08-04

    上传用户:hn891122

  • 基于FPGA控制的高速数据采集系统设计与实现.rar

    数据采集系统是信号与信息处理系统中不可缺少的重要组成部分,同时也是软件无线电系统中的核心模块,在现代雷达系统以及无线基站系统中的应用越来越广泛。为了能够满足目前对软件无线电接收机自适应性及灵活性的要求,并充分体现在高性能FPGA平台上设计SOC系统的思路,本文提出了由高速高精度A/D转换芯片、高性能FPGA、PCI总线接口、DB25并行接口组成的高速数据采集系统设计方案及实现方法。其中FPGA作为本系统的控制核心和传输桥梁,发挥了极其重要的作用。通过FPGA不仅完成了系统中全部数字电路部分的设计,并且使系统具有了较高的可适应性、可扩展性和可调试性。 在时序数字逻辑设计上,充分利用FPGA中丰富的时序资源,如锁相环PLL、触发器,缓冲器FIFO、计数器等,能够方便的完成对系统输入输出时钟的精确控制以及根据系统需要对各处时序延时进行修正。 在存储器设计上,采用FPGA片内存储器。可根据系统需要随时进行设置,并且能够方便的完成数据格式的合并、拆分以及数据传输率的调整。 在传输接口设计上,采用并行接口和PCI总线接口的两种数据传输模式。通过FPGA中的宏功能模块和IP资源实现了对这两种接口的逻辑控制,可使系统方便的在两种传输模式下进行切换。 在系统工作过程控制上,通过VB程序编写了应用于PC端的上层控制软件。并通过并行接口实现了PC和FPGA之间的交互,从而能够方便的在PC机上完成对系统工作过程的控制和工作模式的选择。 在系统调试方面,充分利用QuartuslI软件中自带的嵌入式逻辑分析仪SignalTaplI,实时准确的验证了在系统整个传输过程中数据的正确性和时序性,并极大的降低了用常规仪器观测FPGA中众多待测引脚的难度。 本文第四章针对FPGA中各功能模块的逻辑设计进行了详细分析,并对每个模块都给出了精确的仿真结果。同时,文中还在其它章节详细介绍了系统的硬件电路设计、并行接口设计、PCI接口设计、PC端控制软件设计以及用于调试过程中的SignalTapⅡ嵌入式逻辑分析仪的使用方法,并且也对系统的仿真结果和测试结果给出了分析及讨论。最后还附上了系统的PCB版图、FPGA逻辑设计图、实物图及注释详细的相关源程序清单。

    标签: FPGA 控制 高速数据

    上传时间: 2013-07-09

    上传用户:sdfsdfs

  • 基于FPGA的OFDM调制解调器的设计与实现.rar

    正交频分复用(OFDM)技术是一种多载波数字调制技术,具有频谱利用率高、抗多径干扰能力强、成本低等特点,适合无线通信的高速化、宽带化及移动化的需求,将成为下一代无线通信系统(4G)的核心调制传输技术。 本文首先描述了OFDM技术的基本原理。对OFDM的调制解调以及其中涉及的特性和关键技术等做了理论上的分析,指出了OFDM区别于其他调制技术的巨大优势;然后针对OFDM中的信道估计技术,深入分析了基于FFT级联的信道估计理论和基于联合最大似然函数的半盲分组估计理论,在此基础上详细研究描述了用于OFDM系统的迭代的最大似然估计算法,并利用Matlab做了相应的仿真比较,验证了它们的有效性。 而后,在Matlab中应用Simulink工具构建OFDM系统仿真平台。在此平台上,对OFDM系统在多径衰落、高斯白噪声等多种不同的模型参数下进行了仿真,并给出了数据曲线,通过分析结果可正确评价OFDM系统在多个方面的性能。 在综合了OFDM的系统架构和仿真分析之后,设计并实现了基于FPGA的OFDM调制解调系统。首先根据802.16协议和OFDM系统的具体要求,设定了合理的参数;然后从调制器和解调器的具体组成模块入手,对串/并转换,QPSK映射,过采样处理,插入导频,添加循环前缀,IFFT/FFT,帧同步检测等各个模块进行硬件设计,详细介绍了各个模块的设计和实现过程,并给出了相应的仿真波形和参数说明。其中,针对定点运算的局限性,为系统设计并自定义了24位的浮点运算格式,参与傅立叶反变换和傅立叶变换的运算,在系统参数允许的范围内,充分利用了有限资源,提高了系统运算精度;然后重点描述了基于FPGA的快速傅立叶变换算法的改进、优化和设计实现,针对原始快速傅立叶变换FPGA实现算法运算空闲时间过多,资源占用较大的问题,提出了带有流水作业功能、资源占用较少的快速傅立叶变换优化算法设计方案,使之运用于OFDM基带处理系统当中并加以实现,结果满足系统参数的需求。最后以理论分析为依据,对整个OFDM的基带处理系统进行了系统调试与性能分析,证明了设计的可行性。 综上所述,本文完成了一个基于FPGA的OFDM基带处理系统的设计、仿真和实现。本设计为OFDM通信系统的进一步改进提供了大量有用的数据。

    标签: FPGA OFDM 调制解调器

    上传时间: 2013-07-25

    上传用户:14786697487

  • 基于FPGA的甚短距离高速并行光传输系统研究

    甚短距离传输(VSR)是一种用于短距离(约300 m~600m)内进行数据传输的光传输技术.它主要应用于网络中的交换机、核心路由器(CR)、光交叉连接设备(OXC)、分插复用器(ADM)和波分复用(WDM)终端等不同层次设备之间的互连,具有构建方便、性能稳定和成本低等优点,是光通信技术发展的一个全新领域,逐渐成为国际通用的标准技术,成为全光网的一个重要组成部分. 本文深入研究了VSR并行光传输系统,完成了VSR技术的核心部分--转换器子系统的设计与实现,使用现场可编程阵列FPGA(Field Programmable GateArray)来完成转换器电路的设计和功能实现.深入研究现有VSR4-1.0和VSR4-3.0两种并行传输标准,在其技术原理的基础上,提出新的VSR并行方案,提高了多模光纤带的信道利用率,充分利用系统总吞吐量大的优势,为将来向更高速率升级提供了依据.根据万兆以太网的技术特点和传输要求,提出并设计了用VSR技术实现局域和广域万兆以太网在较短距离上的高速互连的系统方案,成功地将VSR技术移植到万兆以太网上,实现低成本、构建方便和性能稳定的高速短距离传输. 本文所有的设计均在Altera Stratix GX系列FPGA的EP1SGX25F1020C7上实现,采用Altera的Quartus Ⅱ开发工具和 Verilog HDL硬件描述语言完成了VSR4-1.0转换器集成电路和万兆以太网的SERDES的设计和仿真,并给出了各模块的电路结构和仿真结果.仿真的结果表明,所有的设计均能正确的实现各自的功能,完全能够满足10Gb/s高速并行传输系统的要求.

    标签: FPGA 短距离 光传输 高速并行

    上传时间: 2013-07-14

    上传用户:han0097